From 3c2fa311ebf9a4e172631593e234cef7d8eb1056 Mon Sep 17 00:00:00 2001 From: Gauthier Provost Date: Thu, 29 Oct 2020 19:05:19 +0800 Subject: [PATCH] Fixes and typo --- docs/helios64/auto_poweron.md | 26 ++++---- docs/helios64/gpio.md | 6 +- docs/helios64/img/pwm/fan_stock_photo.jpg | Bin 99756 -> 119126 bytes docs/helios64/pwm.md | 25 ++++---- docs/helios64/rtc.md | 23 ++++---- docs/helios64/sata.md | 3 +- docs/helios64/ups.md | 9 ++- docs/helios64/usb.md | 69 ++++++++++------------ docs/index.md | 1 + mkdocs.yml | 4 +- 10 files changed, 81 insertions(+), 85 deletions(-) diff --git a/docs/helios64/auto_poweron.md b/docs/helios64/auto_poweron.md index a92dfaf..4b989ac 100644 --- a/docs/helios64/auto_poweron.md +++ b/docs/helios64/auto_poweron.md @@ -1,15 +1,15 @@ -Helios64 automatic power on circuitry when main power applied to the system so user does not need to press power button. -This is useful in case of loss of main power longer than UPS back up time. The system will automatically power on when main power return. +Helios64 automatic power-on circuitry when main power applied to the system so user does not need to press power button. +This is useful in case of loss of main power longer than UPS back up time. The system will automatically power on when main power returns. -![!Auto power on State](/helios64/img/auto-poweron/flowchart.png) +![!Auto power-on State](/helios64/img/auto-poweron/flowchart.png) -U-Boot will enable Auto Power On and a [systemd-shutdown](https://www.freedesktop.org/software/systemd/man/systemd-shutdown.html) hook script to disable the Auto Power On during graceful shutdown. +U-Boot will enable Auto Power-On and a [systemd-shutdown](https://www.freedesktop.org/software/systemd/man/systemd-shutdown.html) hook script to disable the Auto Power-On during graceful shutdown. -By default the system need the user to press power button to power up. This behaviour can be changed by manipulating a set of GPIOs. -The circuitry uses [D Flip Flop](https://en.wikipedia.org/wiki/Flip-flop_(electronics)#D_flip-flop) and rely on RTC battery or UPS battery to keep the state. +By default the system needs the user to press power button to power up. This behavior can be changed by manipulating a set of GPIOs. +The circuitry uses [D Flip Flop](https://en.wikipedia.org/wiki/Flip-flop_(electronics)#D_flip-flop) and relies on RTC battery or UPS battery to keep the state. -![!Auto Power On Schematic](/helios64/img/auto-poweron/schematic_flip_flop.png) +![!Auto Power-On Schematic](/helios64/img/auto-poweron/schematic_flip_flop.png) | State | D | Clock | |---------|-------|-------------| @@ -17,12 +17,12 @@ The circuitry uses [D Flip Flop](https://en.wikipedia.org/wiki/Flip-flop_(electr | Disable | 0 | Rising edge | -## Auto Power On Control under Linux +## Auto Power-On Control *AUTO_ON_EN_D* pin and *AUTO_ON_EN_CLK* pin is assigned to gpio **153** and gpio **154** respectively. After exporting and configure the GPIOs as output (refer to [GPIO Control](/helios64/gpio/#gpio-control)), we will do bit-banging to configure the D Flip Flop. -To enable the Auto Power On +To enable the Auto Power-On ``` echo 1 > /sys/class/gpio/gpio153/value @@ -33,7 +33,7 @@ sleep 0.1 echo 0 > /sys/class/gpio/gpio154/value ``` -To disable the Auto Power On +To disable the Auto Power-On ``` echo 0 > /sys/class/gpio/gpio153/value @@ -46,9 +46,9 @@ echo 0 > /sys/class/gpio/gpio154/value ## Systemd-shutdown Script -We put a script to disable Auto Power On during shutdown, located on +We put a script to disable Auto Power-On during shutdown, located on : -`/lib/systemd/system-shutdown/disable_auto_poweron`. +`/lib/systemd/system-shutdown/disable_auto_poweron` The script content: @@ -75,5 +75,3 @@ echo 0 > /sys/class/gpio/gpio154/value !!! Info Current implementation does not check whether there is loss of power event. - - diff --git a/docs/helios64/gpio.md b/docs/helios64/gpio.md index 3e6632f..214e6fd 100644 --- a/docs/helios64/gpio.md +++ b/docs/helios64/gpio.md @@ -84,12 +84,16 @@ Therefore the mapping between header P5 Pins and Sysfs GPIO numbers will be as d echo N | sudo tee -a /sys/class/gpio/export ``` +( *N* is the GPIO number you want to export ) + **2.** Set the direction, "out" for Output or "in" for Input ``` echo DIRECTION | sudo tee -a /sys/class/gpio/gpioN/direction ``` +(*DIRECTION* value is "out" or "in") + **3.** Now you can read or change the GPIO value To read GPIO value @@ -106,5 +110,3 @@ echo VALUE | sudo tee -a /sys/class/gpio/gpioN/value !!! notes Pay attention to the path, /sys/class/gpio/gpio**N**/ where **N** is the GPIO number. - - diff --git a/docs/helios64/img/pwm/fan_stock_photo.jpg b/docs/helios64/img/pwm/fan_stock_photo.jpg index 1e9694ccda2f7b599dca2452c1d594828a5d4faa..6e064d721b5aeb8cb5ed14970d63fec1ff2edff8 100644 GIT binary patch literal 119126 zcmc$_by!?W(=R%>1PM;CAxH@B&I}ejxO)iB;O-id;1(b_3GVLh?i$?P-MN#!v-kIY z-?`^`&bj~G9@f*<-M^~ts;*VjYfbSy`@8~pDJ?D~4uFAy0Z2kWfag`3I5Agq697O; ziVlDb06;Hn7&rh7l=^)U!65uqTSIB$KeRKHe)|Ul3#D0MU;${*+8cVYL1|oQ9Sps2 zVE;>w`4{-Vc86m)Dlv%3gm1|JWOnC05%pj7Cu%MJ~m!*R!%;4Rz4P9 z03rYoiS!r8_HWK8Bmn&H8ae|Y{coCum4yW+{l8{}P5*nwCa4;4f9R^;DnZK&DC^&& zzeU3Qq3@w1uu#3AG6BxNx&G(~og0AoA2i+{`Zw+$`*=d}|Jdgfl>Sfqz`*`JS17a} z_74sF2FeUA$bWtQvBE#~^MCFXbb-HD`8*F01t23LA|WCmBOxK7pdh27;i99xc!Bl` z8wV4Yln6*hN<>0JPQ^q+PQgG)Lh_cImVt$pgM$M|!wceJ6JTQJVEZiu1_cEL?FAYk zIyxcSYm(P&|JUWY6@Y~dXa#h_!B7BTv0&h^V4gbxK0s=gA_h7t!Zy!7s0`_ZGVMH8710)JNTsFU`AIOvv$V<%MX zAMO27Q1J-}UlCEip?OP7$HB?P&BMzl`c6z-LQ+avSw&S%T|-mL(8$=t)Xdz%!O_Xt z#nsI{;8Wn|FJFU#qhr3s#>Ib6Nc@?RnU$TBo0nf+QCU@8Q(ITx-qG3B-P7CGKRz)z zH9a%?Yi@OIePeTLduMm=^z8iN^6L8L_U^Y{Fi=PMr~Iwh|IiBysuwIgJRCgIZ@pk( zU4Hu|7CgdhRzz%JMI-||911o+WL%M`A7yPQlatM->>|7VK% z|9{f#U&a1QuQ>o391L{x;IIIKfa^68f2mf|J*v83F%xkHf?@=*+>~ldx0UcTt=siA zny|~xQ?c$e124{Gu0a-ZtE?cvMp}0seNY2X)W_l%(%b}sjW}TzUrKan()XUJf*x<+ zP5612JLvIqMi`qT>S;31*^>|T(pRVfNd^&fG6{0!1$5pkak@b}+Wzq76 zhg}YlH=@$@rvyQjNl8L8ie(;}ev}r~LOXVCJ=-$hcq1J6t|D1e&mdF%)68eUryQZvG+oT7;&prx;8f*jz$xeX zw|a2BvUA^Q=t_6To!YzU>oKDNlJBIFTOs6i7oBN@o-~BO-O!%L?`aX^c|)fq9dBpD z&4Ev|Ri0xlAoD%K3*66M_};u`0I$BjtI*LjBFFom1EvN(wyiz`{GRK}MV@D0VFnzu zw48HXvUC}{!aC;rn@~x7?sk8nZr48p+NYZ$pN>1`%E+eE9^Gp)J%yX5n2F|e`L4SB zZ~cnN=a6^o_H}v!y~%qD=;rpY8MuAg8xjTHD<^!P|n>%<4gES@Vd ztaIso^edB(g)r3R4v81~U-(q+#N+%A@DkS)Hu-aeV6KmE#?8!A7sYVZjBzj3=5wTH zhZlg8>LE7;9q!CQmpJSeSZ!VeM;p=HN|K~-v>=Hh4{$Nec+SXs%q{wEPu|r-OqKfS ztSOFOYaPA5FVuc;cI)KRk?Pb5>h9SID_*1rm3dvm87v(#k#Mjtk)stp28WVRYd!%xH z5C0H{-jT}Tp+;S#T*~ca{Hh;m*<WT`Ec}fSMCmZO~5IWl(|PMEUffg zO{%7|?EJc}8|ep?H=c@F+?6xLDYtjLBDw&f01c6_*9{YJ#-qD*Q!t(QVy`}VhMB&@ zXjoULdfQmQ7j)u2{Uy}Ove0pnVy>GdGJ+#2T8dXs>ay@Q_CTG!YS>ini(L3dpAY;o z@`e~(Amf2XKt%71viT`$hlp&wy#GaS65)Btt74KT8eo>a+2S*R&l{}UWuH@*jik)n zt^bnUgdQCqYbQ=LVlXk_i2ySMcay4A-ncyCfj$B9Hfvbj{3=&l(&SaR9H@(hU!$4Z zwVt`r@m6rD3how57ct;;M89dOh#`B-ve@%h4OG3iw@pK059uXqcWvW=N4;tZ@O}Z| zx4DtDUW46o>Z&!k#+ljkiJ#7v>$OvN294O?1)q<6O^grVDB$KR3MJqk21~BqQqfG7 zZYT?QtrP{3V-hCKIk_tf2s4&vi0I3{?h;&`(VmkRBr%(UW_Dr@V! z?i?u=YvEElmR)q7Vi~uBh&o8BV|Yx3mQ-8eT7O*GWPzuLDEp5a7(RZx-rYhq{mG(R@`eF!LLBwTL#QFTK zIxhnvHfn7&{(baYa_$;X7fMcPBE()L@-sX(@dMQ>YxL*r#W zMCkoh{@b7B3|R#}y>u&gZy>E(r_5LITF-!3KL^lyrr^PLG-Ro&(=q(64(2fJ*5Whl{SP?8mh|k1D^hOEwYY;5v9r1DWEv9JSpt61S!7-(5Kf z7|ZLx$*d=FRZTdyw?6K|@LYD8L*83HQD|70*Bs1MN^XRIiV8^cJlFEUzL>T_6Lx(0 zv?t^cz9?8E6P5{vboYFt1sIZ~(yG&J@~&kd)n$p#J+iCYOWDiucD*k@(1DvSi21y| zf0?VN=b#c~s=ktj$sN+D3CUqgt6)AZ)*h!8i0EAL=DZLL=6n=v(<6#L9V7U7Q1cbd z+R_%O%5E9%0>)s~?J_S0XOGSEQ+U*Ca&J?+hl0T;M%Tuhm@Z6+^=(=C8=ezalcOnS zHKj2U=b{2#hwk?Na&CP=i!alc4(AnUaDV*Zv|iK`3vQ2F5Y^sz{$ zjO)l*ooM+p!?joO)4AF=U|kv$HaKC(+|{OYdamhSYt9@?ql2tlH^~2&rRh@b$c-#V z3dny4OybW)`lgP5`F#IwI^N%jzeroZHt{gtd*>VctY;YmK9p2`%TMb0ytU}7+BgN-_{R{_zD(?zx{O|dRO zu2RXmM1x7+Qovt?%uBK(Wa{OROAm;t%l89!-!rc`A zK-drG$F@;-RdKF&(&|Pog>yL_18&2z)A8Wx?VqU`=Ot3*W)BW0bH;?`4*|6p4KpP- zPZW(a9cS;HNaba|r_tSEkL7M}KLakOmIIe)z@(?Fs<|7EwJA)TAXy#ux7-ij~|J29mi$dXtADZL~n7}7U zU86ViGdH#kMzWVfu$ps~J3Wj(R!Cizt+b1c-tCWn1~^F!`q0geS9(!Quh9>qpF+M`#$U|wYbFrDFNABejEmeZU?q0A+=FaEKtRaL>D^9JCm zh&^OVUvv3w;&!`@8((M;Gbd(c%Z4^EODCkKv=#fsEX}yWC3{lA{g9plc(eZ2JS}gl_(8Nb?pQ8(Z`>qxw2Y07 zOWea0Z*!lb1SAXcy^y#GBMtukz`uG=jBrR#lR)!$F*UrGN;`8|KIE16emD7W(eDPe zDC5RPX9k(iJB1_HXR`o3?>3dbX&j8QckQQjclsJBUnDSM%a&G0O`xmpHlgB@lknRQ zw35oaUh)gh3#POa`gdR9oX3ZkFUFN0;_*i3J*iK!YTG8reXe6%&WGs3yCwXXi@=nw z_}bc+n07DkLA{`19)rvM%Mz?p`GDd^{(RlJK{vnp_2VBq9Tz199Qv5M&A=L0j(N$V zb%(l7X1F*v>cpq|9eKItihJf{yX21xwa3uYGTg&XFiADoGMFV`sebv3C4pu_%Y4W) zKooCK{)LuKADsUy4EoFyVLLy|j<8zWzQXA6Yuc3VIM9mG$&l6Hc*Mc?L8*1Ooa=!@;r37Vd-gn!EMJdBzo` zeuiOo{)28Vl#^vNXT@yoj7M)A7m4hVJ}$O&S7lhH4BA`#3^Hj{3TKh0EVdhxaP3;( zgBg*|H=g~4i8i$6keRB|nmEoJ(9@t|I%a0F7`qdYJ|#SjxREYpIo;RJ zksOASWxA)iSD4$7rqqVKh8vPCq z*Y7z4q>azu;%+1IK;GYU&?w=wIHJwF49;P&=eb$lgI>8~=H@k08>~9de5!F~72Dzw zml|HRc&yz~NDZ5BDPpOXL&6ikLws@7D{f7p-!Lb3lyj1=w1W>Segq#`M9}K49V{ z5`8cBK<_z(E9M1@#~TtJHaGycRloGK;2dpDw?@b_JOjeqG$d!H4omFS^Hd_dd5E>fld-q z_4N|?Xfb&b9pWXjKmyx_@(gGWG|-;6IcY36jIBijCu8nwyQ4KUzWd5Y(JgqoD(k;+ zR~-B{mmx1dbeAAw8b$B|M<00eB}L1dFYrnA3O{2w!Q~mCqyG#L<~%*BIk$)b3JJyn z3p+7@7`b1O;}O+wh74=bic{J&6p2K_&}QsTa169R!`q0dxcQa5#pd%kx{ zovX%{Fl|RZFvH$et$X!v@_r6%>T5~in7*P6xBy|UnCH=yCTlJ9)|2N6s6~7}Zp@5k zbAKs>m%#qHcY~~nw%!n-8NdYx%PthvVnr2yH(7&$n~93WItn~boL=nX{E}YHcm%u& z?EyCl-d*PFtb-7YY4XhR;jmrv;+#UmT7oEY6RyN<-FgrsdnJcnCsI7*@_(hAz|H#P zTb|6F?LIBT7jqqym+|c_VkAM0VfaO=DbifQs~V^$XxSvyG{LbrePxHZ0Z2sFTw4!{ zCcW5o%99`IYwHymPvAYvwabBWRh^RAv|8*_a`Z}st3z*J-Hp@cY~@C=$^#IxFFCOM zF4NH)Jkpqj&RQDf&xdqQK4o35^yJY#1Ad@(B?N2r0PW@~8!6_UB7GJGZ%)$jw`ad8 zib)xJtTxEkk(IGLqI=pEZQo0a@Zlj{lvEhQ{B#1LMncwg4T0945i7VbB2)6o-;akp zW`ZgQtfZM=WNoeEn2TBqehSN>96b}HJMj>$HuJ76`B`;|vU-fPhz-DhlA?Lcoocxf zmBqf|ohds-2&*M>&YX!``JlZNc5}zAKV8b)D3+^D6XRjv2D_a`-Pk)aNuus$&SMo^ zi&Q3H`oK4x9CziAbKK73w4cg;tg;d~Jhr9;NhPg~e5`Jtvx$crShQ@tU$J_mCp*(c z*2zq?U_3sL_^!SkGh>MYVm6nX-lCD{0JX*CJlH3j&7IS(~L(B zrf+9^S7v|6D6;NZVbm@DgrjFZy5Xz4&F{89%-Z7~j)^ROUR!^PkpvK*uWbRk2HYZy zti3G_^`q^v5NLP*m=8TfxAnNk>MGzH`XWkkphJF=*H2Oe-!kgToz-E^r%uh^@VC0F zhh3nHpnQqV)f%aLBS&l)8hRJ{d0#5;=FLbK80GUbpd)vnP#Yl{B4InYU~u(li+p&o ztF!IjXydYyqNbhj8oQGfeSyY*AQkzVrh4?*Ij`26Vli-pvKcG(|pr~Djp`2)LZN}=>oA-)LM^z zwi&Qv;-#%PL#-dZ#A<^@d6N|P;0wH-LX&YAxV*rEa4Y)xRtN%v3dnHRm1#%;&~NBK zGTKn)5Kf+FK%GFqCC4&`XMA9U5YjnrcMZ6Q^lc_70vAWJ&p`(WjgtvlcTlan>sTG4;K+Uwr5mNV;AUDh8H zU(CbuhgB6s(Ka0;)cq+#FwEB7QVOk_of3WI4Kb+f8L(d>a}#9cyscHBHcgC7gG4kH9)dv^5XVg^+d7CHCg%{)_619vaqgcs*@mYGp-EY>Wl!V(OD1sGa&PqPy$`g&6tGYg7cGJ z{E95P0O<<78I$@zf*~ZS-2DqB6)?T^fxZx)1^%V*29{1u#IZmc+K@?DkPXr|7Pl7< z`Z>Tb&Vo`9C)i+;NwBi2NaWU6QQ(-IEP-m`;)CL+fFHQ?wW|aUUwOZ>s)9R$O~RNN z$>d-4Y^(K!Z~vl9|LJ!vF&;cv23gm~554*PL2x_6XGgrbza-pK9r&OclaqOd**64G zMETa%29$1D)qknuD$#{spUi6WYEIN&p75e*-lcZZ`0V1I zKj{~enIQwxx~jcZ9>-AZe0V^zn$Ae_MYp`x0vKRZo_$f&TRAj z4elj!lf4hoNW)E-7reHk7kEVSOU>MR7ef`o zBA}xSLm*~<>&l~7zR9UoyKi}=i-A4T+-oV!EpfbU{3 zn9LsnHuzFecd9bWuORiGL7aJXI2)>E)Tr*UnKiti9BYL6@g{4v zp&=pOv0V-3e%)!#z0931ua-P*CgoIH2C3;UsY1vGZQy@eJLyCe+G%V4YEo z#L&2HEz4T@Mp0yHg~_jP$bmOXkl>9ojRuJnH78S-CuH5^;xj+w77Wwo%?Z^-3Yn-h5O zqFlgv&I8n&6IOO92b(Q@`OUhudld^crQ`~;q-?G+9Yi8ghUrBIt*4w9fnQbv;4X7b zPoDu_IZd^RA(N$kwHie|(E;ma%WT;kHw#S^!resm4^CJ&rXyhE z%ZrM#jldOelX9mZ#>#}QqLg&(^*0i)y3AY+J4fdhvWiP;Tjk(q`}9k4OZ1XfvlG^u zXql|CgM|jZZwL83LO{xs<9$V#$<*gLF`k8*O|mG~8W$xZr@)Rd?GB}~hH7(0ex2?* z#i#3>vqFvuRm7tzbDhDq@V@Wsc=r6%igCjbh3qlk^baZ;3*cnM5442s>!Fwyp`qL1 zRaR>P7@)kU&Jj8$UK+w|%AFDa>26GzV>*c>`va6C*xaWNGb2xY2HX)&HF^?ri$0Xl z7hEbg`m$ue6Q{5YT6Fa0TY~2GID^|5eTt<8;z#MDGtu)A_Fjp}7+E;l4|z!A^&^ND zJu;_ajeC+zs;!rlC1;Nirh$&c>1>H#h19d|{KaE;Q@a;^53IQoHhJ1X)MuS#Y}E@! zS3H+^c;q=q1d}^$(^q&IibeQODL!yMYt`QpO+)6c(A)@ob+VD*x%Fq9Y-6rj=|Uv@3-sJ?CjJdTrNxk-lIo z!UY4aj2;~!V1si($2cP=w2pfcsXVtflkk_Z(Kec1sySnmVR67Ko;L z<`9ZwxhjVaJ?2v+|D$X3nfDYl%y185EmJXA?PPHm%uQ^Q~kgPg51{YJDRVK`>$rL&pz#q*4@FyJ_ypC<~jOq zCTK$sV4UJ!TxPb4ee0={iSR>iOFY(OiyMJiqM_ZZu}y8b?EyZeNAw6kW90|K(iD|D z+ys!2n3_LF>Wtg@CEIC(F{>)mIH#&@dz)>>|2LKh}n?qiRENMki-* z2#d!FeJNfCELa~4jT91e@xkZY<Lhyk1>V`#X2~c_Jbh#$ul3!;YM|x zQ|p|yrVn}+;h*wj!zhIch%Q@;=$TPPK5-mxk<}svcT5!`q!uy9{ZM$>=Haj_+ufT~ z?T*x8#RgKBJjH83>vQt{9@*KXHHE&^tU5amhmRO$z=)rX7ij|$`U+j7L^?IEMON`i>N~f zwTXF=K0Ml5Qm$qpH<15j!gea{5}KLX)1F6y61}5uh2$gB#AKRuQGvzP%v>*4LDmm= zTAN16BZ-z9wV?YP0wwxgfs?!!K0kX}7lf#;snk5j2#?5pzI|4MGat!f$|wnR3MEGk zK>Z3>Uzqan=xk6kBGwjU0a*|eNzA&N^2w$>+R8t|D@tWO19~Y2o@!~H{GsnNYV;W& zxx2uU1*+o1_Wj4``y7N7iZ;TX5>5r zaD>Px&1Sf)-GW9RH%#GyGew$pwPj-G(W=7XieDKpYl=LGJztIuY*^eyl70H|4ESX( zxGK2|s!EY~29Q7vT;R9Lw$H+hf?FsdTJtL39>Ny$eWj&0>N-zBgiL*Z z{9Mfb0%r(KXXLd}7|WMF@r%8FOi zQ2cI5ld_DWBD1l{-$e2#iw+Zsx5WJ!5C}AFpwVr9z%z$tFl1U{>RLbComA{x?EkR5@pXc)EIC&exjCsyG(#@Tx#4$QMu_1cimFkiFSR^*lS z>@}F7kBO>yF^!e{nOW)>MU{f;Pd-0-8Y;^eL?p*?cLE|h?-GM$fOQn|IOV4H{^Cf* zk|kb1_(l#)Zmp-k4QXbcoXfzMZG|rJHnf1 zS-4q4Rr4gW&Q zF15hmMC56Op9CQern90z_irLk` zmYJ1_g&80q=xS?V2r+gf|7dJ#ZVjS2ZfKz)H#Y*&sB_A)$l8h;o0&_x+Z!vp%c&T; zLkxM1XavEi05gjt1neR+iQde6Apxzl8Ha>EGSVG*FPekqMvDJMljdXbVL1 zXDu!+E=(@$Og8qW%&fe;yv!_Y%xr9oPz0lco3*2XE2FgoB~$_``Cl^L89Nx-o7*~? z+gSgRWeiO<{8!k2Q|$N1Uz$PL$;kzNH~Hl4&5fZ_fAfP?Y-|}VjZGW{nE#idfAJbh z#tt@?PJiLK+5RB^v-4l1=KmY=KRf?L{ufZy+T0N=Wo>BoNAUj``Ikf4#>5f&gkTK* zlheq`!oRBhn}S85b~3g%Hu}Tyzl{IODP?6~YOHQ<qvhkLdqC_AiU5jg_svv4g|!ot0J)lOTus_CFne zIR6v!FQ0;$jiU|JPwmYOrG9G+<@w_uf2sV3^?#50SJ2;!{k?1jb5~)tX%Y8*gNx-KU;Cd! z|D8wkzj)Xfu5t=QE0HqO-5D*Z57kC6{UN1Di7h3+x)BT$n z$_0lAO)mZ2{eM@U+o5^7FjX*3a4^sm)qnDI$)Gu`h|rAIKRLS4>hBy~04yBp?>t`8 zzw=$8Nw5DR{>^uN{*yNP67D55f48Oe|DfCeMb!d67fu05{OfKIzO^lUvB$RwG=YVr zm;}1#-$LL1ov^SVJNt1`$s%N1VKer$*NcCum#U;h`8hCX--H{K#5_S}_%fHUd+0!d z??vzn-mF5pIMg(ma8{$rm>Q}(hbYF@BnoB<%bmclZkg}Lm?AMw?q!+sfNDC-F)-0p z!^uifs!?$fcQi-w3=iJ%w2knoLD`pXhI&L?RoEeUqQAak#bqe|;`PYH-V$j{@(Ih( z{W9#Gk(%)N1AIU>9?Ow16`Ibaj;>Sfp5nap)X&I|$uzh*@bYn=+z#N2VJEpU>w9F$ zck{#ttoQonre0|Dcpfe?1)UIn4=^j(p3pqsylm|H@Y?;&D;I;U9csi@R(m8qV0wNfWcYf29f*C9MmziFRSplFG$l=$)d zQ`0ZE%mD<_&aq{g54-KmTZhDS#Z4y`Y)f_mdot)IUPFYpi>s}KwXvN8d3i~4MQ4NW z;vl@XaB!tR3`nkG@RDM{I?1j87( z3lj9sq)Znns@J}_(SonMcM_ZBwdd4e@y~;wMmP`?!VJs8%8P9Ti>7zm>CY|F>?{|* z+eTv<&e$c{-8!9n1gW?%=|DzEDP7o@Txi|wtKHBw-x`g3u=T)=Yc%NdIj@vj_HF4Q z!m`D}Tp6Hz&^3>e9_BQT(vu-Hp}4H7z* zN(-KI(-q}Ug(&^DcWe=!DKk!6hvXBj!aKRgqeDXn>vp9JKTPGxiw?D2bdtT*~$C;p(d)tpFEjY+U{m2U|~kL1|siNd2aA>kA^YO zx~7^norb1IX308)bksrwM=PGRwCOFea1GbT-U?viS6BrW4OhD=HoDQFD4YyG3=Ofo%fSL zTIR)8$7EyNq`H8*68?O}{8nk1pWb;To)c~<@PKxVlhvy43{wtrra=esj)o2`ZFsB?L1y(IRt9+pia_kV_|pHo}Zb8iUko47aXjUawFYkAZZRUSSd% z*pYL6ZQgC;odnD0(LD*C5!M{LI=C#JYHg&9FprnD@E50ewNOwn!s{14YA;CpdK+9Tk=66~Yv++Bix5ubeKh>?P5EB$>~%U~6f_}t#vDp~9D_&doR-7MbMA7-aC#ra*)xo( zPuP0E66U$ovm0dRYi>-td~j?{c;P0vvlT>gdBU%)cCg7bE0p&QLAnO^SwPfkFt|kSJI<~OQ@OgYy`0_B*TW5j5rO+nJ32CD4>1F zUosLTP%ZZKnfHGNu-vrhrE;8`g;sg0zqjq`D`pC*IKO*nc5ikd4Bt4V=L%2!KoQ?Y zx%T*Jby`MsL*C%i>AZGnd2fZ7G*eC7T2ViDV?d zrD&#l=Or5JEZn(!o;@NX?3^kQhp(*&)}$>uyqq2DdskFv7B$Zuv{%iyQD=W4{azTH~odftcfEM{&q?DHCG265~JnW4gjqX)pj z3H`v-9xP;_rA%aYV%dkxZtN#2l(Go?lAY>uASOa4P}Ki+sP`0~2wTB#|6!FUuOjK4 zX<@Ak4%=rvn=#Y)g&`+zT!|(61VKoJS(wCv6ThHUC+nzOdJs@G1Q`xdvhiC_}#xAn$7`|D4#Qq^@M%=X1e~ zH%}&r4qR=?)L&di-p@531XZ_VZwtfax?uPpXFfQ@6Ct(Uk>a5`*VTI5xrJ=&4%y6% z9HuIL_~2IFqct-BtxyS=%{RWAPwbl1R~{K9s)E;@`F}W zz(;Js5PL_Vzc?Rl>!~y^J>br2a=^uh$&fj(-+!30)dk)6gX27i9wfTrf`;Myc5z}R z;b|w%`|TFj1?KjZJU(>B&i;L%o`^!!eV%qhXokGrn-K7k=++&Kx5t~%(RHqYpH^26 zG;DU;Y1yi`q=5OOI3z{lM8YYQNae6?5p{&UhW>sZoO>^HZhdUgjBsL1g_p3_?C+~g zg>FdU00udR5)yKzrs`yEQ(=#YZjTOYIdE{pOiX3YgKxtod%^MM?n4#=^Khhj-&v6O z7cx)ASgBtfGF-e2j%!S+7tkfDiXlbCme(|3e3VJz`utN;#JK;`B&PTR=fbGRy;()4 zzqi%Qs#t^xOn0!IZjTW_#3WYBF`2kFIy_=zwPP4j?5U6=rJWB=C-z;dsi13 z#`_YXw@eb3wWu!WnwxllY>3znxkpF}~B=ePnfiL1rD`EcU2Q&6X~KQHS(c?{w2+*-2#_I!{@M!$_nvFv?Ku#;sQUGA~?kF`8({uO~=V@Et0dB}GpGNFJQg@rQFU13c&}ezveW^OpE&AFwg%MMy@ouaqV_SOYM8UuMhdLvj z0dQTiE8@LkkFx~*E>SuX#lum$eO>+R2bEaFUR7dKT}oE55H_AeQA+4Dx%Hxwwt#WtP+KHl7WCgym_nFz zuVbXunlfPUy;nQkDs2~<#Ig0~RA<7j6+V=dftvB|^BAJ0_#k7 zb^63p+bKoCpWfhEWL^18r=q+f7K-lKQRxUJ!i(xfja!6+Rh4bCAN-0{{CKSL`3NZ(KZ_XH*NlD5$Q9j3&0bkKj$#%Z_7YQN;a%LA zI0?frx61a%tT}tTIhCAcFuUrf1O&fbGDnxUUd-+U5b_=k4YlLaa}M?UxD{&8nFo{L$X+$O02(T0&(9?HIyX_Z_u0wP zUd$7pi4>jzC7Z>4EN0nCujRgfy_w=j{FuncDVF%UPu@_8+hUuJ&#-Q1XoHkI&H*sl zv4o?Y@iJC5psxk@8~J`+w2z*T$f3MVtE2pt{C8m7+^x1sU#I(wF6z%x4hI<^)d_?< z#AF~XtIDv7)Wxvtm(>drG*p2RcaeE?V}{mGpKiga<#UbvH+}BB_l-eeA-5|eThVH1 zobppI<-U%ONA@RaH-1(ZojYU8mLP=XVqsUF;wAd1a31^s_TLT}`|Kq^Tj%GvxCa#c z^0ZR@49N50Qr+IQDVTe2EwCBy4vuozm$^v|MZVs>y+oMVo}Qu6m#XfCnC~}X3YO35 z@etxhS7p%kis1D*m}Ap2Bcz%uR*XY42@X`!DRkfyImJ1o+1_Th)o96k|H`5FKRQ+Y!12q>?R0iDq}KI)Yp%Qd#!)W^DBGa(;e!&B7p_eSZz4YI4T=-(%(t%U z(+k_v$g16+D1bbRZ^e#^?@lZBvj!H=9k)JQ88L6~zVCl8&7`JONax{O7lW?)WwojE z7Tknz=Pe+;vw0}%$Pm8IcE^1uLDW3?WFO@jF=P^Fc2S)>5TeXgX?jvEu+P#cS{AHwyt|I8&es3cV(OABjw{npT%ISE zinc>7AT@}+$jOi-Y?2qsG$jF6ghP(IqxS;0h!uM9e3r790N`{}DD zFz*IwM1Cg%#^3~_dhO-P9vs)Ow>wE8t?&+*Cd5jQKZm!TIV}d_VuFakY+8hF6%$`h zBF&{RIH65G);Co5MYS;aTjIo+CYEU-L0>0BZV4YlTxg6Iyy5qspUgTsmNHw#rIMya zoV~Ov7-}wPJ9ch=9c<<^-XI_u)QRx|Up>0)WSk$*c-sk<`oxX{gTY3A!~9=n5Znj$ zXNG@FtMds)_ouu*`Bk>L(_Cz2bqY0xUH^_~4!5$?Qq+;~%dwknio9XO84wQ_;W6CL z^(9$}q4A~xMeNz9aPjJjMPlJa*zb%P+A;1JB*!tol9}LkbkHvJ-31PEa~W?xb6*j4 zv116PE$3_=&z5rE%{)vOm-H=DuMSBiZ}dpHxtHqNB+eLTh}9i;V%3G#5U3uXUFgUT zBO>r_s%ZXV;ucZmak7PEh!TQCOCS2W1WkrN&_a+ z3>koen1GS$<Zhig>Iqft3|l zcUCgdhfF3eI%ynJK0)u*Onc8iYO`&V?K$)eFntEFV?B}FMoTLXwXm=6oFc2_H{r0N zELbueu&LN>?bdmd6;#!>w&o^{M=-rStMd;;o$Sw|1Qf>3`O`?6TP$4G9MjK~dNWJX z$f-sxZU`+)^Z^UQ=#zK*HK^J`?BcNr#UwX&o#PIGA289XP3KR#4=y^-`ghK0e*Xza*78W|qDOjES zLf1X*#$OuUT+WeZDGPQ9qFnPd!y#cCM@>Vlieh4NUU@AQ;XGGDY?8Li-#-0pcYo#g z_ALxY>Zx7~lTgljlBbT!`%wNj=oZ&%ocVS8Z$HLVLQ|v#5?!}2!Ic-Wcr}Z!fo`GcU2s8|#lD zeP8RXyOXoa)WR(|(UKh|NaDAgV$5_^GXU9Cs?M6f_+*&0<}f&V%l=T@7M;D=AC! z(hh6{DfXK-4G*Np5WFE@qCjCgrY=lx)2Tz!5<_L`)1pIk5ECrHn*{#D3nh5QX< zOYTMNu_^A^Rbw`PQeEikESmm8I`|_USH+Rsz#7yVHQBkMCG1{jFB6KngmQ05i0L?} zHItN7U+vA}Vv~nP6Aw|8lE=w8T1D@_p<^NT{eJ+bKv=(MsurrgHZ;rWPAky2OxnxI zzI?%0;%#rXxx?NbvrMU3<^8Sb1M^?$TE_mje>>pU`G(#tQL9~#+E~mMfXy`z^?_bpT3OJWD-2x39u<8s-&{Cr83e&l5Rwmo zU+AMN{Ve`q)+gtmXxxXJqdBqZ`Sr8q_m5JY%9)%(+8*74@ktO0!yiT^%tB<6WOa5CrfUde5}9=!;dS;gre3D5_7H&qQ=|AfJO$h|;U( zj7`s5=QYwy^b`%PG)+bgpW^G|k6n!ZdH#d*{T28|1uqd*w=12zGxMM*3MG-G-A*DW zM+zOhVYT;eU|>RgZ_e*ZjnU3SR_RDCo2|Ttx#hJw@uG>#Em)v)q|Cx#L=imKMx^&L z>}jbQ8tk8J;~{Q626t&-g>ct<(x@ZpE!xj>Jp@)DM(;j)qN8aY^`GzPm-aW{H3t0d zcG7s+m1d`e+D^sNG$vznr!O5X13fRps&Ft6IoFr6n=K%qfC2Kwo{N5rxVOpxd1zSc zr9y&|UMEV==4)x!X{;EMiJbP$iA)G%kp+sl6#&bT!Kqpxx}Yc+%i=DokJjng)UU<+ zr>Cp+Hk3_{s}sYQA|-3OmNSPF>XWao2U;8J33p>}H{@M?%AAL$d1M zm#U}z&KBqC{{Y^uC(M5hT>k*e{6lKM!>R?+HLQkI2pGXD*goe20|NsC0|NsC0|NsC z0|NsC0|NsC5rKh$fsDjrJ_peFA6bEcjKILaz`(?Ok+_|Fxco=PgkPc}CNOC+#!knX3 zGu}7qXKl(;K5PJ#%yOl3KM>5%Z#8xTJ06ll2F{|1kFu!cFznhnF@3qOkj1xFzbLrf z^a7{+d7)ySK+p;UAT{7@{6Yu>z~)u}mZ6>4-nfw7*5X zftfxZds>t#yUy;WA-wKMxrmfy?QR=R9;SqckXEN}se6c)2OfX|H783sgZfOoGbV46 zNT^$Pt!ju^pC`5K;?Ef}m?p=zOi?STZoRJb>E1Wv@m6=plb27=IJ+&p31g$Wj+x%m ztY@yfX1x6SM`0_U#Jk{U@h0)W?5oI$wjI?#8|A>*YqhMvYkidXYdq?K`hr++WgZ&^ z`-A7N$-TjN2Vsf63`#@cDP)U-VMK*m$Wg^-)HW$Fs)A?A8xG+^V5n^80B}!*0~}Lq zA(VS`R06P1HPxM|BkQF>B19&h)K(mo^wCVYvm{1m=mcqi2v69U+^7M5(`5g^PKHT?xsA{ff1C z7xrn$R?Q*8j&#(B`dG)S9fw?VXsB{@)MwRWYB<*-PC*v~{XA+IG!#tR6Rk*;|SwS-o(Xy8)Brco4x6&e&3%!VLM!{Tj(>eub$)md71kM=~LFxu@EsO*-|2Az+VjBx`pD)EflJd<_&Esd?Sm{JKx zbtX9=3G14(_FDlW=JM$9KV?FY_Xt^=`o7@Uwo$vH7+mrUrogrJY9)DO$#-axh9!EJIdk+93 zgfN#ofsRuNaodLv6irj0FdIMFBHLtDx;@-g_Jp9^No#4Zz@Y{7vlI;rdA>5H#>VEM-Fv{xg#w=k45#ddO-awz#|Fd8Bzu{4KqTqLm}f{sB+={n99)$@}Wb3HD0|pR!VSu1e z0+Klu-<&{o0`LLwzk{==3HJaZB~aW7gNNsh6+B3b-;zwDjTxe+ z{`CPf7^NR#!O#~``xP3-Hinb_(&59RpMf9D-TweDK;TgBA|HT(K*7NchKwP_)LKUq z!TdLadj3;b(AraLe3p_`CP+mP$(h~Wf71x^qZgbaRD4;po%vPMQV zx#(HN1tB0llOfDj?>dUsqV2;{ zrMz^r#reS;=Yt4gfD4r>h}jgg&xMWMJ>vD79@vjg+p1_!Pw6v@IkFjuH3{;NlLQyb-2hFC$}p#ehr0c7d(jDe|K}(#vl4G9EheEaRUJN z3=jY(kedxke^R^jo&NxY-D?S|GgAWmoQMFA(0(vY#z(MTRqdY4M-1u} zpSPoKAfW#MR6UQf(xjQWty?P#qa_@Qe%-bGxT9{LFtM@+{``{18COl`riHZOx?S}ktrtBm|LyaQGJzGg8J387m z-ybH=h*C8jY_2yQ4z_z=kak&NmAp$xsS#Vd+D{dsEG`#YR#hRC)qv5Onr*;3s{JSX z1Qz5el%lpUdO|!2x#R=@2M4juCaTq@6gsHBQO`MTnL$9%lf`QLe!wpoY149I@uEn9 z(cJ7ky?_LBxd2V`oPkZ;Oafsp5X2yN{Dj}13rIPjRgk6An#B2WUDMGu zA`YWHHiS;Jq7rX?(-{&+df3*z*~(9VXLM z>YAf@4aux3iP*f5vB`wK!Jd~n-aJ&@37UUc>pL7Jhgm^AfwEVg*Co<=t2Nhf;o^W^ zakF0d?}8(!9QBRUBifzxmHCU>L`>C2=MY=B$mbk`28NJ(W_+9Z8VxJ-#dgy5Mz~lO zZPNB`4C2t#A|`4c+RIK9*NdK@*qPYicHMAh;1wazP@p^kfCO{o2e9yC8wx=20EPl<7+F`OekD{KGJfH%HR2QAOisE+V`o_9MOW{g2sb(K$)G?;g)!GU zMZy@Eb&|s@T=j?;D{?+DTpd~|j#;4TEulj_Cf90Wn|ToG8P7QHsvhmj)eR{nuIWh8DHh8R*`^pO4iixVf{$JuU=SmQ^m!9O&+X`= zNJB!*Jg>W;S{P17`726Qa{%CofN%q!1t)F*q&cxRZ(a>=PHczn{?EQ_sW;KI!@6k! zsvy)Gswp@7oq^$|96e^*!z&>u?}MD=DM^YfV~IzMI?3m*P%9YOsbj|J>-?rM@xEa~ zvGJlCmOeJqi;etnqkz$A@wE8t+w}t4YO>K@@q&LCPCy*<>+1sWO%{=nrhNoC4T2yQ zqx=|y@L}T<;RZf9(SKIoH*LW)IV*uG$gYwnUHW$Oe*E!79OM%0R>@DuMBVls3$z=d#1XsSrKP@k34MJt2vK-sJ0Szm~SAcN1J8p z52seI$xpjZy+YN^Bz88y*e)XVjB67S@+97$Es$7&W~2_0cje$^nl zP>#E*KI3C+qDxfW)bw?-z!eQ4Pl6cg$0@XcY~KiqN&f&qu8D9RwG)3v=dOf|KE{YL zk-%d1WS^?ykUrgg3I70x{cb;;A%hz6>4(a(=_Kk?WZjxhNEO%-My5zrXtJq_g0N$x zkLf!BNUN#IXifpVrqPZSlPP`7e!020q}d~%$Jt{nntfM$2E%KC*NkB45;np&S&V#m zFW)O#^3iQ1c86%1ifV0o(cGS~)==omYMM$>2B|m%=AFd>$hnhBYN(RSK-`eCv!Ga= z!&q2CG-r|$aggn-o9kUyNn!Hf<;jmJHVlbmcRs?9$5)#h&>Iwxm-Qr&kqea5W3}8D zcKHqDuE3ATFD%`18{1vkAf?r3Cd5c6xPd@aTCvGwG!XMp`rSmIZT|qK{{SRR3Pj*p zd)JQE70yJ>>o*kA!W`bIoO)t&9YoYzp^FNg+O6#+Wc@i>yHa`&ym{yJU)JnFA|d`Lj~FF6lMinn7t~b3@LX~Dq*Xm76I~z^xmiLx z^iPfoV`9U`YpE8hW%or*dxGmrW5FvZ{b5(HM=3QZqUTotOUWFGLZNq*r%1V$*Ee~} zooiRaPBDvN`VrKYxS6gy**e}D5@yul;QGKO6Mkdco^sO!qU~I!jo!GbVxE7{56MJu zyz(Cy9QG52bMOWb&-($wljgKu)7t@}iR3ZCC|W_l?!0*s8fVt@Qk7zWiGO24R-dmN zs%Gp%j~s^`gxI2n>Qav;I%(nD6yW7fOmPgQ$$ARbueOK+No_gz61OSpmRrm>vVZ`Yw@lE{QW{MXNfZKJHKlkSKs+e2DTPgdWB! zN6&avF?VaAs&XrJI;1uzfPi=Jf%z1|ARurY6Z~8w(RmK-Z`3svM?R@)apXc5_5kCN9;f6nGL9X} z--6XaGiqyc`5_R41bdx+3FZ@s|j$T9lzP!uM9yK;5=vjht3870O#x$fN`-K|TNv8Q3x6fMkI~^%D;XszPCo8S076 z+k%3|;1o7?5e|PI-~sCtMp)nbmgL*lWw>Qs2ImR^ z&;&UF`1s(bHVT3v+)aiNz&I4rNT{rf{#>+etfuCxY9ga`Ktlj<07nCXz$gxN`QUI& zIYg}*-EEg??4%S^`=+TjTQn) z8BJd$3h*xb76?nU;2i;GE0RE)*;u6yy=-JcUtv*YrDr5ym6Wq49`3%m6^Jpw1J)pC zs+ft~CQM1veftQHV+IJDu=eZ%I43X|#_ATCMApnR01YcLus>$|{-Y=i&+?!U-*6zj(m4QGQ^>Ac6cVyB{ScDeF2$SMi3Xz2C` zluQy7c*l$;wW}_&I`jK4DK5}6F*=PKIaVr6%62EIo%s`wQ6Jqz`UxJ11KyPQS0ypM zDGzGew`Y8!wF^4}0muX)#KjNrPm#?x5md2E?ad@a=!_L|hB1;@=;^)e!uj+PfrD;n zSS^a$&s3kKOpx5*XYY{_&Ss@xVjI9hZE|`xR)O#UJ?cd_xbd7z_MfitAO1}M>av5` ze~(VGaQ8Ja?N@MP!|X&HDCDTVSJg^X5j)q-%x4nu;789@Ha&W7B%A?JdgU`9^hK1c z`W=*jkp-KMd~?sl9ZOV=WsP2f3IcF5gjyw2k%~06BW5<7Pj%?FQ0l}R?NbsDl#iFvK1dAN{+lOQ*LGwr@`GX4 z^W{)XU-AzZDnEbNxx9ZH!*7K8{&jM2w~Rt>VfEgB8#w3w@O-%Iz{08N$x_Ffj@~PX zowUhL+3)?rNRWps*P@$OvdIc2l_-(h#TEy0Ni0{cigU=293ah5e$m@kF)o=qEQ4i7 zp}**Qh&KkFyoR=?L#?u_)e5w2#xj^X{3NI`GZz^hXlg-Ep07AV{E)yoWt$huR%}T@ zNJ3qpA|6m}3E84{?PK&+!et_=-MYs_-ahZYP=1>aUO;S${o;>1u54uh5X-p zjruRPBXqtxhphw3YWT!qGjFc>P*B)z4b^tv?oJP0F*kg)qHw=%A~W{YPpyQAY|1vA_rlhBHS5BMUrt`p9RZWfp8%gLOq<Atzh%3NPN#n*~k zaC0VC2#M2pi8y$W2sC)+DZsdAdSxRSBL?Lea-Rw%!kkJjHr*jRZPx2lme}a|NV8VY z{Ge*4P01E!Tn)gS;syYmh#SP_xUp3^5y0#?gG07Tgz;h%$~4G*c@9+m<0RZrb{P{W z{t81&?N<9TyZs(ZO{`cM76)ivrYIQVlj!xiSH-glk^1=(+=bXr_#?RR5jV$GN+X(L z=z2xo`xu>;hhK748yV06l{q_|${~wBGK3#Y%?xDvDj(Zir}YkzOp=L!3cjnkBtc?qZ_*+$zh_4c)uxb?Wm z!Sr5Q8K)e+z95l@lwPr@l^V?K?2V*_2W962=QUOF-2&GMGaq4y`WV1M-`3=1@n(7RYbHAP)$&9I% zD%h%{>Z!?bWe@5J#6eM0g}p+R!aolCiCV?4BE6!jO1hB~GEqw-ZLdow`U_r9f%HAJ z>La0c1BBXW9f;tuem~gV9a;vbtTT1BDV4Mqp0i)wHKrvRl-eHNR53B?c^+NE&>Vkn zZp+6{YN=}*rQ6J)McQ%H#V&9lJ}+%U$H;v)HHeUqlhTR9!?#T9n8gANvV9e=5BI!U zKVtoSm?(|DTm9^LIZx75xT;>ERwo&#@9roT{h{o?SOSFgo%O{WE9f{81Q$_6LC2<0 zSWG5R#x5)PnPFF>W(~s_+TvoG7M(pLnOh=kQIH}6WPnqa7FqmAvY=J=rO|wMXOwuV zk}ed%InSCspSiZm*U|QO#-7r^0i0w%S1jr8%ECt7D)4bqrluRZsJlp0SO)+H7(QM( z=A*t7VA9rECg|O52eQs=d2e{-4y8?B@tG*~u8(E>Q~v<#`uR2@TYR~{*Rn766AmzW z0d$`RF-0-1UySM*M+y39Dn$ya<>b!**rJJw>bOBOs34Nd%rQhtw2YFlx~B6!Fup%T zMW7P?KG03Fw)2Z(hnh_+R&8VAj#pGnC*bE1&)?_;!X?gVmZc@3{D1mg#?#wae{B7- z4acDBOrY9Yqg!FI^34@srpq%sH48MC_dn<}uQ}rd_v|+v$$BJm5>p`&7Kohcl{0d< z4-*9Cy>(6&@l*UhzE6OX(=Z?Uixl`krNAd_SX-AQ9k*39&M7P^`=%|i#)l5W$~?595!sZwUPYvTd>x@FPqo@ua`4s~Ug`0WZr-9t- zr)m(API1a$+XUt|1_0m~Lp$&SCq5M(aHw&Kw(W#RwCp(P<79~j%FCYg$5K_vjqA^PxrH_S7J@Lv5E9&D|M3p=qthLMJcaG3d$qa^# zqNif=UPKFE+g7R3Je%jIX;iVl#`F>=jvK+l7EZ>Z;%-blXIugf0(ex6IGP5;*_;TQ zUNeuLI4BbiAD@ei%&ojbkn-Sv^?<^Le&Z6iMPd~!T;_|J0=?h0p@h7#R1keKKqAF) z*APW_Ldgd4$Vb7L`Ouw?VJH|AE*t*k40c^$|En*JmWb zwzZZ}5v}XU5LaW>AyJv#b~Um_+b1A%$SQ0q-m=iN=n*Sc3|W-?@ot-1A%lh7y;Kpv zM?EmYrG;#42K)*vL`X)xKuR8&gXDh^Fqf#5#SWw2#b$~cLp-!!z008IQve(~<8$>< z+mUM_sO(ojOuC7w<7yK{6Zg)) z1}-v4$}i(=K(eIgk`f^MX%H|91BU6qbpr!nlW9p;?I(yTI|o@<87hLzRP~u8WPx^Z z2@&}cB1CTF#9QP=bj!<{*V}`#OwkZBIH`bfLi(Bc6yBK%W287F65)to&VpcqMCNx`gi5(yP~e((SyNA3 z$lN(tz)%k77>W&+U}WN-Qzuv0)`i<@t+J&$bI2;!+Aom@=)AysZz+#Rv0pYZQaFM} zBBGglj&MQ9<|iDQm{L`Cz+b$0vOR6TUn+2@-Qh|>y z3noP>jY^h!D2^zB6UQ;-#|_0iXzjx#wNH}jGBhOYHHnJNkZ@b3ovU0>x#}xz7)a$O zL@~|Oz&wv*h^Nka!JWS)2m&g9a}Ge`K~}_xJj8zsgVkx{?9EfIT zi`nIQGDX4^LTkmdfA4Rvl*yayl0Onf?dh^`W(&9m^Ks-U{UZ)20ntxfC`sGF9ED!jWFDlVe} zby2G15dkQa!Wr02f>6ZWxJMbwB&hntP*1^AXxPgsJh~0VjH)ez^>0j8(IBD=0*(RG za}Y?y(Zz*b;^Nkz(H76xD8a-@gVe6OZ5=P7Ux1r^M*AIy8Pu+k9=S^rmd2b??%Zq# ztf3TUz|TbXfUdJrLCcI#R2&xy6+adGe!cqnFhZV!Qk2G!wEHuoaF7ExaFmKt@_l2V z)=_}BRh0T_Mi~PNCOGv0C=om$q+ACaH$YPyBVUzK0Xv3G)F`w`x#XFd!BvV#PI%>r zCPBh@A;5_MaTS+C5tU3!5G_uNrw5UE)iDzTa10SdrA3LCGkV%;ohT@_~zp4hG= zox8-`x=8F^CjGyQANk+c$zcBg<9DK?X53(9ZFLiICOz6lsBVd_a5OyIc_Duk;i!vp zx54OK5|aaxAh&L(T?Bx#5#?^I)Ot#Ore<7oWldZg0)`VynAk6aKH|OvfrCBy2R+J1 z!YH9}0~|mSaD4VIdl^YKr3++=Cr<7p#O}=bEzU6F-o+5HEvhtJM8E2+Eyz*SGBXV2 ztuiicJ6rBv_HrUS?v7+<8Mbb96gix5E#k~2X+BZ(NL=fc-avd-KWpFD$Tp(mSgwjE zYW_87<+>PhC6U?^Rc@@{=+-GF3s+@Z9I&zm2svq3gwf!NIrDtKafH(xC>;Xk`tv6Mau9gFwO6gRNy>z;t@x~BC_lKEiI^KbO&l}C%YyASFiy-s&0^e` zk#HmxnAXD~plY%*2}jpJ-*2w=2)gj$qpP9{M_0NMD!vVtg{}I3YlSX-x)@SUI=$7z zbSM~NVn+)P2h;74X$Q88!WJkG>o6du@;n7%Lo85b1)jnu4<8NOSwMnb&bh;BCb5ka z_OCEpqKtq=HEP+pTQ?{fmU_*E!gO=hZOPuCVwGe7;;~cDcx5sk3Tn*vPU~d#&1?E5 zAR#ObkE3-`f*K!F00xBAfrFs+Acyb^QUO-+?^7yLc;BjuZ{^QbaHoyhsZ2kOy0qE+ zwQCGFjk?6a{Iu&%1IBG^1^!xf<`0bY98<;$35J0v<3@c_)mtl=Jyvr5Jyf8a4-vR6IY7bQ6I*WY>hi@vmKm4~@F)bNO)BLy36r zty949=UVa}JZq3KbOyRl38S_71IZmwl|6bie01`g7h9*SZq0Qruvq}qTGTK#UbqY{ zvDak6>-r%F^ff3jDU|TS)hg-ZcCDHx{{ZG&s`0lv-o1FccUG23UNq*9nR7R-KmK3; z!~jGQ00II50|EmD1qB2M1_J;90RjLK0}>%I1P}!xK@%V{QDFuL6mgLwGeUu(2(iKN z6);0ma-z}U7En`SvhjnG!vER;2mu2D0Y3r%0LiRN62!3!s1WAH1>702lg##s^Pbr! z;!@zs8;E+GWAleJZla>)1VwB2S;Eh1ux3*iC~hInswd({sGo_(BIFFW4qD&Rdvu=F z1U*0;!IVRtL5r1JiTH{`{k`i%)@Bjs;M z$2jzk`3Iy&b`ZG>4n{00W^AV*+7Da`rB>o5`7V1$R@-Pe?s%MJfNgg&Q5PHs_(stJ zlSVP=lj8of7{IqgO?YlS1&{MIXSK%M^A{k0qp>eBu*UW3Wa7w=qErzkHf>?>;jHdd+A!gnrZJW-LP*o91Ok zCjBB~MdD}18z1E{G6%VpR1khrwc{1U(Qmg9HnFrv-+0UxHfJW3L&M(p)lD%i) zOv{Zht;Vd4Vg;tS4yB3g{bS+Ew8MCc6z?&)eX74WYq9J$F>>nW23DC|g*D7MbNfH6 zIb5!vSlWo^dAnnRv<~Npycw@1N7&VWSh@G#(j3n4T}K%9A_zzmGOnu;P2#Uvr}lLm zW7ZqC3>mW?CtK6w>G7$*G-18X?!033#PzTl>MY!H7g67PyG5tis z{?iaOUC$7jH|ZQ{G!D~XEJVkk4#ZRtmZLJ`8M&D3dO)nLxr0H*H3`#nSu>4fZ4nb0 z6UA2_vere2IJkZ;*C;F|yVgYzARj53pxM9;vTr`LZ-~t?#fG z-26L6Henz((~~-Gp}Jg`6Q~(ME9=bax?1xm6DCczoXm$u6An<@`c1EylO{)jlD82v zEtRORxzogV$iPfukatTh6j35#9@5lsCGFb4oAVqn5m;99%&Wv0?tm6!Du<>KNZoi7 z!}1g~Onl59r&Rt+CKl8#YA4*tSyp6cULeO};bl8k^Me)LYzd8ZGyo{uwz5v#P3{p; z3-4>`G_vmn`b}%{gcUOfQgFA@dql{add&Sb4WZg=#@|?;%x)%zZXr^pFH4cbTuce3 znkr&ljLg8T2%ozh*O=9J6GolA+M1P4<}R|knb7ovdDu@8#-k{iv9ZVr8$d|_-w`ql zn8OK{jxrAhAC>kOE~lg;S23A=q{OPmJ!;xq+!=sTJ(-2nFq@0Rz2nS`a>kc+?sF;D;zEj#`O^Ke@9{&JH?sEWC)El<( zYe25+MYoz1p*KA@>_v!J-9R_q8vanJIWBZvHn!AZ*>;_FYdU5|$T%~mR~UTX6zJVR zD-WBP`bJVa-S^5DPViLRp2A~YD+!?#mfXq%neZ8A@3;aDL_jD*~D#+ zK{IpMEzLA{nAcNRVsC@Eo1N$I_Omp~H3ZlQLW5@}6P} z0_HR3A1Kao?lv)Uu0dj9ZRnYkKpRRUo@euvZh3UZI)^jiFezgy0cK+qk;qlKdbfp_ zK{F$@3Wdu(4rjAwLA{?@?AiI6P#gI}k#Bfjj?&q?4*Qc1$FgQ;$N55TWLhz7cL1!! zN}Gqei)hCtJZz(b0VMC;`*exyvDuy>*1k;oM(Ykl3B<7cN+JL%hcSks7uhDlDG1R> zBYBw`?D6hDXBtdJ)Mv+N49NOcf!sE1jyUWb56ieTZhRQ){Qj^D zje2`9u`vzr(sf;0=?Uu#F*h`$F58%_LV*>-w5=v$Hl#(;hRK-&4_S=arJ0qPcAJ6P zz?!dND=w2UjGK`LG7`z&V#ke80r|%AnjDRxA2{txA4@6TQB~S|FeuE`v74!Y7cr2i zi;S4K7fr-WYB-vK+<&RiaWS(sYLyj=iHV%T1rKvGYIE|6EX5>uz6O=g|%cQ zrkTVlu55@EHCUD=FDWKIHOg)eGVR31%nd~==w^FdmkQaA!sZupJfdR#n$QxmHunlE z2%rJ90-EJnxD*pa56V6?q8DhrJLAQ%x`hJ{HiO!(UHAV02uQ8gZtJuN1kw{>2)SP6 zh#d*5_j;uoE-A)M12-L!)l`!K1%1XW34@rxRmC)6Ynk#hY$o&gp&pPCLZa`y6Lc}3 z_H-g<1$OL=h;D70nE6I0qsJ36VRi&_0y7=ru8}q(dPAmG(#=5N?@;-U(T3A)G1E=@ zh{V_Y1R zJpd*;ZR0f%K)8x`Hwuv%7!9H;?`PexHk%W7HJ^1pD91?CA3~<{8wM6TVl>jL+{(jn zAp|Va)d>`0GqUjsD~O4uuWv|8H)hj&N~3TDBaP$GF-Qg)1Bg*|5_y4aaWRHb7l_TQ zk|yMx<+XNIC(-~>W|4bMI;x>vCwaFu0n@RDkJb+>!+wyf6y7?p_4l`<@90fYhn6VP zJ7VTpC@vlVHuayB2)c;^9a_GTfYb9ah0TRr(pQ5RYTS;;87s8PCfcZ)_ZX0U@RTm& z3OCzEmZK0^m_<2)MT6)m%XD zRSuGOhvKhE@NZWac9}2vb|SOb)_xWXd8j47&-G=*CF& zogaw~u(JOE5R9*{Y18fb_9ElsZbS-LKxohQb9FOiXu5r1*+j~$8-Dl+7xRpNW!+QM6ET<+BE6FJsaSr5ou7P){*fJS346)J;rg zs^(g;hjA9oitMPxev?Xrey}JI5)+==FtNnD8&8JF<~PB-R@FQ&q{W-2b~}+sBg=2KJ)(M`roqovS#r1_P7S+KaB_F{7OD!aq2y1QPANj zsRs~Bs2|PUlJ^|TKE0W>Oe_3diMKHGJthy1AAoy{xDoz1YWD59iL4;i%<7ZO8e%J^ z_&+Ggs%jdlFx0gX8C&TaOA}%vXxcE_>oKO0G1zX~^A)aKzQ$_Txb%Zq8^zy*yK#K}lkbP__FhFTJMrHpJ%612r>s^gVhUc^S4vgf zsp&CnW}07e>loU97SMt_5k@WP-e(`Pj6n3}5k?+s*RW8^N1%$ye^{id-!P~M3s8;Fn6eDppGz7Hp zZxibL6U8xgeLEG&je{v0Q3k>OlkZ2O=)T|m&;J0VumQR*ar7X0$LXnOilUyGTD}wg z{{a0;1)&5&EJR_)eAvsb+{|mZzS-Z|;wCR0@cdIr7>Br6`ysS#%uorQSVb7NW?$VH z>iqt(RB75O=`cUE2B!58ueIN3xS4@IkkxM$84mnJ(ku?awHa(OeIPVq5NAAgzb#7@ z+P+f$Tt#xQu$~d)Sv(uXapy|ZzK7T5C)ytn@J|!P?Rs?4khP!t5zX_!!DOMYH^q31`ZX+8}?g}U_ zFb%{4pg})|0xVn$W{h#?sYFE$u24;e!YeBXx|g3=nssf&UxqPYH<d?JK>5%63j3~-H2vtCiVgkc?{gv}0ecbg} z{#l#<0D{l8ncD|Kxj*-h?r7O@;y_r{{{W0VwF`lO7f=wxyAYzx$gPyKddv%jgeGeq#b4!izozc;|}$02%mK$Zh5v z3R;v;!|6*fkRT)04fmJC{>a`njj;HShn{@72jo1h{p&vUKFueAeWvSr9Es&jo?n$8 zGJnco8c+kgD+sy3+ADnKGv@EtFJTxvZg`5=#@&Dw*s2}s zHau)9r?SR9BH~2YLZAu0iP0O&3noFQ(q#cMR3u%+_@$-KxKFf)?C0KhNbG4cA7OgoPIa`%LU&kms=6#Zmy@ zKp?-yD$+w*2hZHZRaba>6HuCo#3tr_Z;wfspC_^kc#47dBZ<7+cG6X9;9VPb8djiv zK9eq1{ura$3z)ExlIm~Fv)HL71p)vBW+(6!8;9`jQXQ%Y`qXPt!z zxK6F+mD5plY5GB>Nhf#^GVT1Qvn-q{UK7uao1##H!BWZ=K8S$CYe)cQ4 z*iN^R++Q#`pK@JF{kr>%&Ea`XvU^NlkYTAmLdW!Z>H0j;~8W0a-OAh;p$IBGam#Lu@I(E=Wn6smrGp_i% zsXmguME=SiI=K9AtMI2ZGbW`U`;-2~r{AyHMeuL89bZphv(4vU$@%{Pn^);R&pY(J zPEMWq1dr30ej2E3LTtdGMm~~;yNt}d31BQ$M6hE6r)UMAr*2mL&o{IBPGP38a=f2e zW^8tc=QiX_Wh%(tWjP!2mF0z1j}dYFgiez?i>Nw1CX6EVUpv&Zot4Eshie+%#b0AK68R<#GxS7zB^B$;gHg&&+cVbV-h zC3lpgh<83-!GC9d<&7PPxmIXO(6WF$!U2imbB}-U{{W=$ey8z004JEJK5@YGnYoPRcN0gOoMaC&-#v^s%$%YMa;P|f_Q{2?K5E3lx9w!A{kmE z5KL2I18@*!o9#loH^VfR7Gobs#?0Vt4+FMG1&?N8(6J&0R*Six*_-bd!u&JC^Y}Mk zm>?`49;04kv0tUoBIn-!0NH##5%$Nd>4)yJ+o#J;O~rmzK>VlK_sP?BSvq&vf`6f| z`HeIh%h#m6>SI+XOw_<_6%;l4%}=7HGrsXbP#^?h_Y{DJ1|!@Ck8)~LlLo7WfS9ws zHrjOEUObz6z?xTm;|xqUonJ%hvmj(Uh~8C|0P@T1NFBB!4OhIgs&fUFsA}NmWaeLB z35rwFAu|B{K22-PUl-~+pt$ojfx$kXPs(`SFZ5U1L6m$izxY5yEzRa+XJ0QfRS*aB znu4A-o8#EPMxCRg17cfo$%@9W)&fq%MIKxC1!?c*zo&34bJ(ab*@EG=Gf^>SF2632 zgvQk|=gW-jVatax^9h@yVhLW=y~;HO2JFJbHU&lnTY2O?v6kJt4UEgv<{3z+y)I0g z#PI(BFt~a!ko5TZ^%E%E2saan_Vc4ZgvXcVU-JNezIa}LZ_ukssKUg~uSAYaT#J-Y z+NR401tZdntC&Naeqp@Epv`M8{lYw#4bFLiq25^?h#=I{sLeEYo{&a0IX#t|9QOE| z={+L5A=(p%BJa$}iScs*zi?Q%2k8ot$7j}2l6W4+@^nM zj|%(;-W%nw-y*;B_z(X88R0|lJ~x*SrvCuY!OW|jWd*2otI#%PCUzX{{nO43pIMHS z?k)@dU6z~5Akfj@dFYp&11M{^%XUMME1MFc80PGxqXvW+{t?EMZGfVr`)%Tp9cHQ=rd~4HL9P< z&XfHKpW3Iw7%xNBk3#5wp&#QsO$HCOTD=W_bi0HACSX0BMDr70&UD!B6RLzL`o5ET zsf;F=DkHbDuTOIkqZvSi-q3MS3lqwtZep?30+VKGv3<6dw-(ENBjYDM-PRy`&$I%snapm#E^ZBuqKx2PBh8q6ncrB!b#%n;tI5Z01Om#EBzmuj18 z+hG$;IZHb6#2q7Uo!p1V&B&y%F_ELm5TU`O&dKeVq-o-6lnkEPje9f zH{}>Mi}iy2BYdFKAM}{r!+m3w$rykr7|5rXEjJuZz%?Y8caZn+~ zHiv1w!OS4kqcNg8fZGVcgMA>?cj+8oj8LPp-{onP0{2UYHJN;vSq5Tm4upbB2@E_KDUkV@W{{XBR z{4fvQ{{UFIyf+?8_J7ttRpG4H>}TToAb)fxOhSLy~okp2<4{{R`|xjZKih%ZsoCY*c`=s)`t zCy3`qB8C1!H;89HFVIEnx`b;L`Vaa;)@N(uf1v*Wr1trKb6fo3$&mWZZ}W`o+@Jin z7E_7te`T*4>blN@tnjzr5dEO~5<(IA9k24AdAMo*%~zflrYsuUgud19Zyib)#%}VXkltX_e1Kv*ecY`EB5JS3Y7(yyE$YWG(W^G?H6Y7}ZXNM7w$5yR9Smm;Dxo)E*BM-J}D&~O3~ zpx_f}ImxtMO>~t=e?IHzN?haRmcEtjW$B$Nlc*0x)hqu_C}p8*D9Z) z=2B}Abs`E6VspSgUC^xKxLW+%An@+UJqm|RE@O)3IRfMy?(4S8UV@9Ps*vrvDkEDjl!3rV_z8+CvOb?J0lDZm}jee9`L2f7LFb7?|r zngV$a+c+&wj%%aWJUnv~grMnCu==$sj(}xh-b-|<0MKc1+R2!XZGx^eRG(B^Wk|!7 zVKlnJ<-58(zf?H`^^mDVcTK5BRn3up7z-3C(P=`vJFj@8x%835QtS}Kv>lhcwsu_V zWocGeZf36BUtPH5FAFa_J>ojlilbESI0 zX)J7~(GJ^m+%wA6p^&i{om(s}CX*=q)k?3czRH8kUu9X2Yi@&^p+Cs!PIGy8L_y80 zZ|fB8J(HXo5UBBFOPubB^6ZAm%?n5?OCx2=PlmcW8>(O+_cf4rO>qhu`=_upSgS(q zvi(q2qbS6c@tn8F7(%CFwX|71;3{tN%6HT6{_ix|y^@&5n>=$jy5 zrnr%n4>J>p6(txIt+8Hhvc%$OQ4-^Ig2vUvR5rFm5#2%6m5ybgtuePwGO?vj+o$Sj zx)T>z93Q+sPRXUTTcx{YC=lf529=7!vlP#or;fzo9ipJJrCOaqEl)7Dpl2qC2#E-4 zizb3>skpV*LhUk}I+NODs=tbJqdd@^9HmVi0kW}G%ikTdW>|7HLaG2Nrk7Hfx~L?q zXAx}%z};4;>aN{zlJ0Jiy7GptJ=9!VqDeEl_2Ja0Gmt}%EGcL00JICb<8MVF_>%e3I0~+=4kq&Wb|nRx&jAIBM!># z`K=G4`}SB|NPm^fP1PpVX^;_lMfAqyQ>jj_!9Jxv>Gh>Pw5G$_Q~v-F*|t6HK(GfM}x85UMvzlV?&5IxdT@gWw}DRE(h%*LtYR zyRxR)x!F0|k5mU=s;&c_b%(-GYk(@NXf~&jI;~d-5D})?mpXrP6&Xs+3DulRiiL6? zHz?6ybSt)D8Rj~3aUdc|>1$@_kPsk+#IanqX>RJC>xbcAOUzat0fg}dV_D{quP8s6 zOdO?Zb(Xz$P$wfOZh@5Vk|emGB&vf*4eD$g=LwWcmoA$P)CUVU`7K1#f`4K)EG2W! zlxm{)DnXBQIHKAt**h4~vN(b^MeZh5H$zH4i0`g%_zDja2TN^aKp|pl3_Up|RC}t` zE;m>_D2+#C##t~HyXLCSrCpk-mA1vn-7#H*Do@QiOQ}m^q&O`Yst7?QUMBYm*#+!RX0OQaEgcg z_RaqQ0aiEZY;H2fR~704dJ_C(2?grFcO0e*}rGMsyrt*cWBvL&GIn&`Mj zv=E8aX|;n_Xm zhU;6(8hdq?xOCuLHEAjEZ=Q%NYgNZcF?L+6vA`K+^1BBLJfKNR)gH9HJeH_>C^6wFb1IIr%O{w zB?k~?GPU5VG%CYWWF|D7(_1?sPt_Jv19h&D?76yjPOAEVN)CBW4k@iI8z9gT3_X#j zOsZ6fs0i6jklja}UMz`md7g@AO)=3-Nl^hgvaXx76{v%NXefPCpw>qdMIk;3C*(-pv0z=sN`%En6d=k zRQabMohp;>bUeX2TKPn(@6=CD>iJ6Bb+X$lWli7|*9SJ;KnVMaUlM*#_*9co-4p@k z4CvL-WG(bWL-LO#CbgkD{g%gU+&~BxwKc;`gySVveum{85Vlv0q<3ADZjQ@Tpy*Pt zuZKe0p`Z}9`-*6o3s9IulTK9rkn~~X)99f04Unl#?t!}fTdhq}hy`7zHw*5Md#0Z% zBon&D8^o^YsPe1ACKaP25QWhKEtQn6>8(DiRh2Kq2>OnO*}rnb1vrNV4%Uyu1$jA! zIw*s`&!Uhe*&J4fd6g_fY6R(vBI7FNGa}iG6l#(M#d|y%gqUKjSMDJE~ZRvC^pHfoi8!q)AOVMo&b* z$el%7DFmylFPfC$Avh>%x*$S}px7$mf6dqP%=K^5z3OP0IYf`8E@^* zKM{q=Jn`qGb^yDv}(#F3V*(SOusc zWp-ASAgQt>f}|iXlQvZL$=?zJN^Zpnj<>v1Y?RZeXHR8TIp^p)DC~bcyV-f1nlyy{ z?x{3#(WP}$3>wu_3fB z*GN*OQ{6R>(lU60gMl|vVFNvQt#0y!-Ls_^RgdY^n;Lb1Bq|Q4mpREk%WgJ@c}%u*y*cE%GpO_UFg^O~7ziN|*;Z zgI}4$)-69GSFulR{4?73hneC2EL%qt%F!g*?``S4dJ<)>A2!0p(655Tx{W~&X&{f7 zPJE2!5Ukf&qRl-O9vH$(Xn>0$(15kQ$_>X^yz z04Ead)4+tym3lXnY*x!j(zLWT=m1I$X)0I>w{%RmWCJ~6Yl|F=t&jxlyhVY<@jNw5 zHY80KZX)03=CM8s;+_^9_7dtt^@i=8wSV^i0E+OBjyRSh65KZtGUt3QKkk$B?0+vr z(k61WXHKr09h2D?8)sC>11Gmdkl8wQr$(LAjMe;yLkF4J?5P-fsR89-U1AaR>C_P@ z)%06rK?suM<`_gB`=}vAp{Kgg5rDLVvW+c;rNp8mCkQFPwNs=TE{ht|vc}=Z4h7%? zN#79d{{RKz+V9|4`L`@{Tfe>}~^=Sr@F zeUpG2Wjf5|iqsvtlpW_zxKEyEMJEAK-%iD}&mZfdwWks$_avTZkaS6eEySzd{!*sp24E~Q=s>YuMb&+M`P z0A+g&;%{@YSYmvp9zL*IfB0tD1sv&A(qQbXSP&2aq81LAsfYmVpXPMt;7WT-YZ?Cl zJM>d?d5-#z;E0oMik^0dDn=@VG^^@IWgsa8Sr?Z`L>D_FFpNZ%cQp|0Nx%~$e6$6j z*%CvfCpKRwJ`;#JXe&Te3#e5U0NHU0{67-F>P*t^{T?#Iij1#LJ|^GzeW< zc7@pz2J6Z`sJIQ&<5B2yWl5AwCkQEoJ9PGGHd~?rS{k%13qsNmlADKc_^hhDF}hoP z;0(N891G9-3+x}^Djq8F#}K1X-`t-A>iTzB+#~QXd$&&V|^wg_J}`{sa5qJT;n97&`Jb_szuYq(H75#QuFgp%~dKA3zp>@ zWL(`S{we4O%#DvpZlSWkIqj#U_EHAum^McJP7k6Ib#^X8lz3&&@g}-CdNgF)$NpJGyNq zf{8uss@4$!8kSn!mcr;65{H?nxB{e@%M#h3N^I&_cTF|6br>GP7m2vv6Y!lOilE(2 zV}R4@C3sWCd^5$|Ex4R1`8mDXdxPjgcf^bnY?^$@u9W7Jb%bG}-EniWlL$DHfP@9I zhg^b)hx9eg6%Wx8Twy?JB0>V>BGNJzJte?o1<-7u;N8l8ES}1yDeIj(qfyDE#nW{5 zMZu?J=V~dg4GGRAX}!>38CQcyricp*u|uQBoGIA6HX@TPt+GD1{{RK{IKXfoJ?$m@ zCmLaxxP(o{&?7X5WV}$w91ZXJqQE3!Y1CoZ@#{+M41@Xw*?88zLb}nPM(;ln6n( zV=K7lP&kMQ^ov#$4o2NRBEy=EW25A}E8+}yvma_SvDkYYHD=Wj-K5DML*{SMeWbW9 z7sNgm!FZ1c=A`DdNdCjdrXSv(JLX(UXTpSr!e}7|k`=pCzcV5!4PHr;~iW@u2{Qm%vUuNz!J+OV2 z4+?qJh{1zK{{ZOzl9B%aRl$S%s}V`mYdMbGAK;t@n`9YvOaRmc)B*Kh0-T;^$)vT# zJ>T?*`E)d&c#o3Pc~t%sSk&<0lr(OIO*PBkVpI7wQmuxy`O(K@EB$|RnNG7;27 zDzpRssnkt3-2(Mav#C4~sp1(?zcgo6mW80L1!xEWI$YgBSQ%53V3Jd|OaxN{q0tvb z#I8Mpbc&;5yc^;UBkarCJq&dMLBiK-t=*cnN7MCJ+FylWd{N;14~Q^O>To>6y*hw7 zry^ldW#u$OQ%sDZxoQBX5>u|?pC0k~bTTGX&dJVjL_Ia2tp}?}1yrF)eDlAT*fl-x$7Dz;>n{{ZYSYyKZT8}^5c!+{OfNcuYe0L=?3WHHK{&WwwL zQ7zsO-cy|^`(*ZxeEhl?e@X^m7Tr`5C!oQ|05j4uK47^zz7#N`T?7i zT6D^DLrOn+XA6l&n4Q-ur0%NV8Xc-`m^6r=xP2E$Ehsj(s?$?f#bwE@JJ|{j5iUZV zDMA3UGdDzlj^%iYmaHpZI~B$0zlM0urkhTe2>qYPuWV0ejlF|#-ZI;`wu}D&*I=LD zl$Mo)K6U+CsMvB5DZ=T-0-4_@ekVuujVKsUWp>>XPErnLb1fl7vn(MN!inO@!qUBvaRcJdv8^@{E~Ltg z^^RRf7XHTRMW<2A2!Bo%l1-K^?P-NADfJo?ov9NF#O|CwNzt(g%I0Yhn^Jos@T3q` znh*p!M?ewTcG(61fDPy@1zIvOHtGfrPS6~i@Y^5>O<>Z4Kw2E4O0K}ySv+@D+8;!Z zP`#wnBL#=4{g;0bLi-zWSJ(SF@p?}9Y1FA7`z&|(u4t}Hy;Q6v>WEm3SfbsibWCrQpUDvdBVSUNL?)_;WRqSWQ z{Aa>`%qw8{ZCR&^lJZ6GXMcjE-2epE>VD|d3=q^@BI@S(X%0p-?16`DpB<2KHGj{` zqNpcN)i^{#AcP6NSJkormdXr!a?*WN#@i;r?zOcVimDHl#IJD?7o(E6tn>a@F3F7#T$)aY8uFC|GO5DMbBR+8GFU8@aU zm8pjWH1}M=FsJ6GPWd^|i`ca^gyI9HQ>rz)+^S4)^E-Z*(q&E8DWBw(%WCDe7cH=z zOcA;ioCm~FYs+I%O{V6Lh^|}b;waF?O{x@VV}{Q~oJU;hPX3cS6n~^+bZT))-96k) z0d>#f3!TKvdoF(zXT(DHjw!7>6~tq*W+RDB;T%sCYx_ceGl+p`LmR{e?1npuYV3|H ziV1Nc3||u`aJ}n^0GnTkg1P)i*rwLvuCOWJ(woZD#at&+eLg1^E0@Iy-9DcY4b)0& z@y>t7VPM}ST`%u7E!B-k_jS}DVoBglI*s9zs z7f!ijoMohh-Wlrhh7y*TzwIbtsSo&+C{hcRPtkIzj>*)iJ8+v$n>G>u0BwM@g{7-Y zR+gwAb)lY!({6|h#FQx3cn%hDg1v%qj8B7ovtWJR;kCsm^<&&Akbfsn_kb(gp98`0 z4{4aXR6YkoYf|3U%Lt}HIveM!3G&V$2bS5=aRb{iZFi?YplcU1J z)8g@Uc7>Is|Jncu0RaF8KLY;%%-1np>vXQU;EnTDNue9T1$*YoQ>jX;3Uhb@5ID+( zDHob+_n@H<0zlNJf*nt20JQEy;(Q=0v{tpS7lL!RXgMT)Fr)zXbkd_NQ7P+~xaL}Y zP?lT663|wU%uF1#YuMM2^Ty*%L#Ar2|Eilu25q$#<8@-m+GTNnVTLb{t<&KvZ@($giND=<<|tlEKv zl)4v_z7cXFt-3v;kXm*0XU{+rT9^n!yhP9fo^X_@S#xPoXe{rOy$8A1$`(rLyG>WZ zbcHNBf`ojcvv+*sFjooYZ4M_YsM>%k^ZTQAtE$uqhe0_vM^bD@fsXh>XPW4~R-fjg616&jg%0spiic34lDsPrPikQ*N~pG!@XQs`5Q(fpRfQ~Q0>x~iab z;qi+6cQ}=yDyj|$;R@->(091TAfj5Pbm1!3#t>zn#TvD16&|LL1il3K9EXf9VpdK< zPFqIU0I1W&P%!QgRm{{TmMIskdZw`hO0OiL+kJpN3%vYUTb zFT+$CIQyZt$TuAA>;@QoFSIPS3hBVQ9cvBADf5X=qUw~FqSOaP9#G7-HzhlSy5P&& zrX_-!_NW^|Ch&>YmoGBT@>jDjc!x*OP=kocvbl+eCc|vF?Q*oE^S#iX!o?gYvShHc zaSL`;yljlsUuVHB{g?^t+gFl?l);GQTX4g&+fj+n&QAnYIiB5dr;!4YBR#X5M~uib zI>L_Uwkb(V$UIc7dV}qRPT}~b(oaZDICpO%VyIe@Xnmp+A&%p?{vSTmC~*wEGeQuq z=^hq>r#8bMT~ZVNo~;Cbt(e~uXh&{Vkx$B;a-OX*1w~HQWBO9~lF#21R@LL=6%s+k zT)&;*C0k97s3*FUiaBp(WBp{uG^GY8=AQUTRzHm{nr6h@x7Xkx?=ietNxed`1)shE zIIbj9)3-12gIUSNW;{U6D*pgnUS;)l3V4_$M!qFgi=ALz!YdUXX^tag8$}ANPMKhV zT`X%9*Q8fMdDc7L*t(;;%f;xMIo=`cGp;71ZfiLS}I3ERLegvnG*2?$$6&7FP&qhcS()XL&G* zRDxPaxa+A9!)rhc_F`Ni8I}!gr=11vZozds!58_!uB#{=N*!qZBAiZ&MnJ>ypiBX)+1=-##fw|lkE&Slh<#EQ{B!a zaVBuNL5d<*E7GZND#1;&HOPZ|Yv%}hWsb*~sD{cYPx!;HJutGVR29)^IlQA6n3j~7 z!lZF*T~jiW({0d(UR7)4YGb#ek1Gv%D{mrs8rGGJXJ;l%!kxqM4$~l%3W9}>Gy(d_ ztZcs2Hp`6%lI)De^;}0U8$|T6SoTHjA7OzN_%!%*COUY<$-G-Ks}{}fgXq<5Z3lpZ z;+XELo(YO8c%FnRK3&1*0JSiKQR~_(yAF=6n!(Q6!RNytkLFtEq-pg+dDb`woudBu zv4J%t_C*f+N4lZV!%}Mf8h(Q_D+7stM8XorM+%ZP`(tC@b>{cT#*$n}b3vIjp!ePp z=@j5?6kbmKJYs1LQB1zA<0=D@)&nF}!khR+h7TfzZ)aWs=aPb;(_XuZ@JOkNX*55c zMowL}Wzyx7yGWoUSDZZKLWou*?JwoO1OJcr1!E+IE$&&ab@<> z7Kaj~INGSRC{Thm1~Pyv7;Ygfg|qQ?Ww|igG!&%|ooN{Bt>$lcgS(k4USuk%r{5TC zy0^uosX-(v*HP!l>k6EkdRr?&&FWl!CUD0aTR1$H6s3uDT)aYns)xnlMX zn+=ea2K-p7MEyoRGg2Dq@q!={-Wgw|JRl6%GhI(=uiXPY_6*uagL<#R305(Jf~`Of ze|7>~#<03|OH2GB`#~s?(K4n9UOv}=5;cl1AF1%ehxej~Xl9aso5RQVpoVs<5M^Ae zhb2SZniTFI@qWoP1L-fn5!5Q6BvBTC6D_+ zZm6%EaVnk=e$yN=ueLuJ>lRf+R-Ckk*2BApP^zIwG$I+o_KDs#oUP8OdXE^vu$Cp+ zcOQ8vnOmv<0A-gWfPS*O-c;)h;aHFzs<~R2amob_o^V`SZ0A|FY9x;%56qXRkW^~1 zROJK0CM590qD^x}J+^-~civCU&(pwKH)!3hezF`*07sl?k@{nu!R7F&Nmg91BC4ff z6H@aF3Q9&*vWjsHA?$Aqc^f&7zAHPCkI^Oz5iooPri z@@}X;DcYyqN6LAQkmd=6y1$Fj!!)}0k3r!NO}PI6WthO^RA*RuL(*|o3U98orzn|y z_m+t){{W-QB-<}2!0{w4Xld8Xw9ZrNJ8$h}KFFEumsbU^b6PN!Plg zZ^9LaI-uk7{{SY~YPpblj&O0wMx2*!Ul>HoX-`VjkG36^bcf`ztUT`AX<+4L^qqfY zUs#M+2b)8U%;9*0((j?cLKKCR{n1{q)Q%e|Gd(o=f?1Z8WVp2-03jHM@Rzh~Z2QGr z8zY8NXBJrcNba@!W*6}kgv6ABY{;FN-n_3BkN~fg2XU>e!8TJ3Z2D=OK}BwU%VOpm zThC*Ae~GewT;U20W*oz7$SLQV1g_5P79eGs`8M60YE1*lC`zFA4U*aR8})l+)2^SW zrU{q)oWqmJGPp@qKYd0GHi}KKblu=m{{Y;APT5Q&=(mTB{mu%vqd=MO!ycq?iBA1>`` zB^%oJvew>TWH|P0t;{$|n9Edarc+`erzV(23j5Eee~DpC@MPw9I#->k4&r-1J1e!= z6Jn-+2qd`Lf1YIVBVKljDybmz_%$@~fyy(DUT1Wsoi>i>FBrEoM??fC&amvuG9eQoc{fT0 zWFHS?C@21~cQyIj;hU<0Xj{4caS5B8ZEl{?k(4lKwX3hy1Gjd}HR34XdRC*}BCX;9 zYi(R9OKK;^7a@U#NXfbK?o@?2;pH9Sn^Ok%=Y3+lp({d;bSM-MbRr~Nm_jB#Ho%BuK}z9%+!6U;KrJu2uzicVyz-(*T+jIbBln_8DPkw8^zW$Vw|7KBTAZQOn6D3*5Gj=W(HvjZi&x4hQcsj$+h5H;2>uaqMLQ_MWt?jVlOl!Su|+KX z9+9Wl8bh;_r7k`Ppp#30DM!bkg{OQ18!8N?p5tggG=1%Z%mO@AKdqX zdWdIfc2g31a}04FhZVwBLXr-tT6uXG{yma!3dE^TjFUz z@o`8;Ove8Bz5HU8wPUG_UjtK$-{I3?O($@b`WHE0=~v$jV=|#l%54m+yz6eL9Hq|d zv^?>|pfxu{nrK;pv!4b6$wL$B4^Yc}Ct~sl*{4xF(wK4_^iP&l67opqLRXl6 ztP)inoB8bK)> zLi8OVF0>@`iWJwSqQZoYAcXx<-K{(#>GKhx@{XSaYMO0-5n*dfn2Vg0c{V)$$YxoD zh8ktGp_b5^00$_k%xF17(+Y95PKHTommJEG;;+Ic98}87E;&mWYaU#TD;n9?5g~{y4fM8vFPKt!L$b3>dIfx}tHU!ckMqtQ znZgF-pcOrbIOR%zQ2N3MSf^O&Xz=M4f|9RnQ$fhW8*kFMvqZL()R;~WH1x!oabtFe z_%Fy`NDi63WSAfWd1pD z4i(=y|BT~{z8%b&qYX#_;PtIi=3nB=39?-vtMnw3e^Ufp@d%Fi=8(^L3c?lj&W z>)D=Ey2z@)9;jAd-sf2SpP!mrj6W>nJGUSHO-LYsFMqS@fhmfmITJX!Tv0rf!?i-l zJqlG?#K*?@nv;~AS`ygrxDu*Y%Fu~vCXp=4Jx0?=#@3W6<$O%)T5T_u)m2))I_VG8 zQ16XB@pI3d1p2=^GS1~G6H6+BI6E5CoKntFj=HsWlU@xJq!nwl(|tynJ3aT zxHFOkOO^_#aT=q}8IWEY)UMO-kcny56qed~I#I!(SByC=G}4)vWpr`36G9AGRDekq zwk$<{UaES)#M`MP?H%gQYho5PvG@IpiE)R3zefz9EVzq-JqQ?Bg{$nwa>% z5?Z?wk_H&#?Ht4PynIY4*@@X4GFcViD#}zkk<7-{;tcN6+UJs5Ks4YbUsO(IN>P^? za4RO2-M8@18dob|`-qGpWlZkNIMOZ;4|LYv=--g=N(xJ9ZCysR<~*+p!DJmu<{bph zO}31x_V~hy*EP*z^;bwV;H-WzTKghT6~o;u&tcO@dRf$t>e6ZqO=I}f)XenmB=_kP zk9x5R}u*Ifd2qGbJ7~eC8xTg+Ug-*Z>AXB%e1xdsF81W zu>9N0Q?ER-Tw0B8Vvui0;r7Mi*NOSr>BQk1H-a&~$8o2TRG~hrY3kyQfQd;QB0)HI z3x@*M4Td#!Q0ldwYgVMhpqp%OCEY@lm0VnZj4_KdMHwGW=w~;n2tLlL=hs-C!PcY% z%D=y7H+znWRs6zz@D!wG&Gcbpv>ndLN}DM(@zYqu@(hEvxkM=FGggKZsJ|HSgO=4* z{Ghl1Aa|=3<<^ibQjtPw$^b~z-q59Js$2-moTT{kjoz7TOi?v8j1OictG~qO5`~od zsP&Y5g<}oCu*QQjSZt~qWdp!#@Ew}K=8whFio2}2@{S6EzpjJA{ir988mo37(e<`9%IOp(iXQ-FBfWDl2ze(laJY7wpf9t=YrbnO*s2pfJ(e zy`#hAok{|nlwn!i74d?J6sH*6s8w$}o7gM_Jd7?xVeF}lhLbd}uxaEuMH+lGC@SJj zO1-8r$%%)I!*c6uC~;N*)+g6QjM($mNcs)@IJpLdVULi1V8c%3A!8P2c%jSyZxWL2 ztwvpmbl9rdsdEM@dBp~>W6zW`B`x1{@hw^*BUKa72$b1860L0h80KYe&F5x$yysqt z9E2wmo^SN0Q~En+)lXkI!*L`fLp57z&{o+kQu^teV zjMmnM08g}13NnM54KKxH(g;xRr`Z(bG^7+JhdAOe0OicZ=uT~6OXNgjKf(f^DyvNAPyrKdZ)I!rKD>U0{{!0y#AW-<+m{is| zF(ZS^hVwk`ApKWg)gOE}@zyl%I_q3+G{eD`O*F7I`tNAVvl}^vNn~L`GonQ$?A>>o z`|Iq5qFQCiHgp*r%k>Cx$;?Uji@N$sz;6WE>{4;EDyQ6IcF<4GD^;l*y`jhO{3HhR zIW32SGUy*tezOZ~F*%B9^r-lR=D4MMT$ig43J zqv6JiF29Oqa)Z})VbZz+#wN~CRn(DaHN7L*7qv8sl-4}pC9qbqcv1$iyb&l!G%zsiXA;HS-nmIK%V}x1 z4@1myhL-LfgUL-JG3`_CZ(bmDt##58j3qPks%@0;GS*O4&gYal@obEokX=S+26a=} zNOjCd7@KjoO;*Ymk-PW^#(~P>l%~g|MMVHVTs^xKNylci>%;Kl0+p^8F>3t-52nBE zOprcp;bX$WE8g*oopi6jPQFm))=i-*486L+rJep(W34`Fb%5H` zj3w8QS0}U0u6g@gGAxSz+LQ1nXC)44siX%dIPFXB(r9m?RS!hMl&&I8@9=+&b&Fao zFIWK$D!xz|ki(|tPxCU$Q3Kc^4`S|1;f!X#Y^ zQOLp_WlBTM8n(16DGKXYQ?oC+^Qt#ft12)kD@fu8+YO^F1$jm^!dvu?%r(YOfr3k6 zwR~|iognkK!-)$Y&>pe=^X@V9H=~EUQGuk@qBKpM5mcB)*pv0 zPGOj0Ll||t-#K5je&}u4lv5PM(j1%2E|Kb@I~JEwwbHxRipbfE0S=>UE4K5|;}Nyo|1`N%hiy0~6BoMK{Bj+18fI)S{81t^#jM z-Zu=bEj(97ms=&kb0Yr$tOQ|rxX!NKD1vWHu8S-mXfd3Eo#5>5%q0fAeLE z;uf5%ZDk}cT8JXGDxrV^lrL%sQKe{LCe$|+g`+jAV+sQF?@}vK!=xMW7{<1-YLeomm_Q zc95_wtY6&}q#DPJIO1q0vA|nF=7tA*_l=|DS*D7xybPtsYAm;XME(BAn4g+fRJMvT z*Q~Xk>PL-YXBD5~#OB=_xsVb{YD;OM1}BygnfWJD z^D601_tjWTpqwaYdWR+@?Qzdue5(_VN{0eg{_(Xf8A#x z!{*X8%b8Y#lw|})U2kaFEE!42?7j}#<`ewmoND^kV;Ej3GTUDnO0HgBT)q;wiiZ#b zQ)=lCK899H%Tmp>6@>03-Eyj?R?tuQLLZx!>=M~(S2(bqT+^(79pe)7j@E`*3k~K{ zO(;3SU&C;$B~#m)rHq-CQ%VZy&hYf4iWrn-$_uwp2hkjd;STnkPGpBW=|hwTGm_%| znv4zO;UMx&-~fuKi>YQf-AF=3%y3au=M_*sao(*ok8XlGo^f3xvCrml>o7$t7;@LgpDkGzg&d=pWnmm=_`|bJ7-6X=_`Y4z$ueog$g7OH{%b zmzH()lcOjqiAl*_lAkDQVG17^%kG*qP_na;Z6RY9+w2!*WSL0KFM^aZLTgU*V5;A( z26t%o`Rr>DA1+&7WxAoL3tH4vVG5JMrm?Asago6~LW)&SnkiB;n!>*)@L4H;K;lXz zzF7(DU!AQXmCW{;@Y*+$%)0lbMF$mA&&-c>P2w&~g>HpX;FwWTj<#9-SbA!8=O36^ zH*r1{N%Speb94AVslkGyEd=74txS-cD8A?-|LTy@T@hQ zGF3J7Een&>{1Xl|jM$D6lJu!axlgQ^m|@NbrGl2lb*SYENEN2Fiwdf@5N)Qnj8=hm zQ+3FmMzJ!5B&7U*F=cDrN5V%@7=uZok-ACDqB_7e=gI*wXnp$8=heGs%hri$xweAv z-Jfr@P3E{N9mM|iJ<<6WBKU1N0``V&zf~TqK5FOT=LOU)4J*|Yu(d2BdNVcyqN!ze zvFc&R98ls}?w|^jrF=gK%-NyUd2T7;1vMaq6H=XGR}^c9d_g9jvSMOGBd9`U!;Ctn zMM-WU&$@CvvhEI4baU57w^*5yag|P~06N3Ywa02||*puegve3{5pH@m3i2VlixF zmYX0_r9E}!37FapWzD9ZY*A9Fdv@OUm#qLrKt{fcC)K?6g&c}e;ApSm}P9K1P73$+;f9omWoB8CkVl3A8lI9sTmsm z;|P0b@no=)D!EKGIf(tE_(N)E1`}^2f22Z{LD)&Xohu3)K{m#p9r?55XPaD(9^6twR26jtZQl?Ck$m`?kLqP zBg)EI@P`#SG^fkc3VL>A-+M4kR7v}xQwUNoVy<@(RhJ`!MWdWF=VwD}3kWOSoVluR z8JsQNfHVp3cz8itiqczE5yJ(=R2fGqi`3FPHvOdU94$V|&`xwHYp>N0DymYOca2(J zC9ydd7RyAuZj86;2$8_0NeEkBRnrR(UAh zkQtIeE6Zw=$+X+RLvuS*iF{={P^a+BEzReeS2LhLs1+Z?cpeLc1%!kxnK0|4E}oHf zHPYgdNy+)CsvC!<6rt{l6bmDU(N%F*oiu>CWjijRPe{|nKV%j$gvw&bUkMn5uv+O} zOYaY1jq@+gV3>lCt<0>qr(iCJDJnc^O=-j01jMAzrXP5Q&^c0|AjHQU z8(Xqp9#1#^(})bhy+Sk)gfPk&XbwoqAa5w*x!OG&X-|;+&L^5rn}RY4nR36H9u@rA zd|9^Y^n&s{Y5bbM$L)!1L9~z1R#5Fa7#(3;=yi-x=LgWYL@AK1B9@c0xh-mx&=mbnK?M5|LyiFO{ENOjd{l#_E3SZ)fkotoMFI7#fr zb40DQfEM{5ODF@t2%<`AM{{xwOnNmXDt&|M`qZ^O(4@N3meP~*g&@iOd0uQFxx7a#wG%&)T(ZHm8N2v z8hFIM&TM3>4^5@2P0!ado>$IU9(~^qaJ{hF%u#aqTaNk^0a=;8L^6Y-N6t3$(j1b* zSWXv*kM=2YYPAk-uT`tg-6;t?NNiMqsBUu&l5SfLQi%A+5qoygBj$^!`vf+;TWH^O zsTY^Sq|(*=7QEH{*q4!;#Bpcm=Bc=nRw4__O?%l8iskC92RK6UNyl)suDhkpdU@8}m&&13&}B&^*21@m{7(#V?V#DLHg|V9jbV*sB8f_r zcPio;LWNBVMehh_27Yd3wM!s4{M5QyJI-JLO|-lzfOuWB%WrWu;PFARh2ncTH0BkH zFly9{s_*#5sS`Q!q4gjo*4$6>h$N0b1NB6P!tHeOCYzjXBW3oP zYV>c^S%(iL^7FK2-KTha&}Q*T={z=78oxO(mXYr+G8&Il{}Ho6ULf0kdTx#1SL&OIL=)b%Y_R1p&2%pXqpJ_mhsnx2k$}~ za~znNLTSN>$~5>sYAMbAXhV3;35Q}Ap>jfDQmgZ#vjg=;CoMYs))_GDiolbcmM&&r ztCdKo)|%2L<j1Q;-TJWc7{}*#|O+ zxB1pHx)+kVvvEiqKBae{@X{SiDo|kws!GLS#O_nSLu9MQwyhyv7eb6S=rzo+QcqZL ztRpZXYvKid{$i%FGc2K$I0iwxj$b27}TQL>!jEftb7 z-{lUqkKnaABo>@QU>faD{94xgc$S~c61r6>%#9O4tYSMtmT(b`NNoybostbKr84SB zqKbEwt#e+qv}|jE7<1CI5`Z+EVeFD>ATGAd8CpUsI zUxQz0i&ot09*O0lT|%NxUwBj;noUoIZxb}j=~5PwG`ua7`VWvTMk|WiF|0Bpw8>M8|zeprBb7@vJ;* zr4&;7A9QEURVB;PB<1HDM9h-LS+wu61#}9owAMa5*~wne#Km1tVf4rWR|25&{39#4 zW39T8m1_X~km8bCRy{b_GY%+R1EXpC;mlJK32}h3spi%QA4Rd}_ZSLsd6a|%r|6*~ zfg`{H1FbpoidKW2dBW%L+>S9ckSC-b`6rSz@*<{(m3+ZgIf>&K=E+S?u+w}19o$d5zdkMH-ljgU{ zL}~;cRp%Lf(Q_8JkHAMRaY4vN8Y!NS*C_IgK6Poct*IHuUl>uPPEISvG-wi_P;+yo z;}xyH#Z!3Q z&R%e(e86%f7y$)JBvnCUNG&KQK3e7r&_iT2lS5@@p%8rdDnk6T|&u?glP((8jmr z1dCgXhB-wlMYW2nrw(XP12N}&L21@h%b_FDsXpJb0#XojcaB)%IaW>RBB1*O0In0) z$|^KT)Ihknu@EYB99*CQuQOZ6XcZGuPS-zH)#Ko*d(1SY@l42ryvy^FG>^03tW-s! zk!U^<)+-eU>VemtL`*qbiFzgRVj3}?*?F@LCNXW z`a-VrZGZ>$ir@e)n9JTI^5ql?KG+AJt!PP3Yk0tIHK#bCQCC~VQ{7SL2X?Rz(zG1P zq3fCsVr{MUjNGZtEn9Sef2#(9{OcT4Nr{<7hXlAEiN>*Q3NU!*&{MJX$2PD z(y5`WDJgR)r#nV^a)l6^F7$%Bi)bN40hu1Uk0?&@%ar$s>liyts;N#MoXS5rM5xpE zqpuez%91PBoL8JA0xMhQfnh}rYaWc-QxsN&R<({~SBlY^y4WT(*G?!Ils=KHDykMd z?H4pnb>Lj8R#2wphQ{0eP+$tV}B*T4tVIR1F8D8NV3cCg^oFu3CIP*dI`U6=T*u z*r50-EC(nTHi4yiz_1yVO$-jB;G3n+=w+m1Nzi^$EYiI9E&gWPqm3ar-1YN)H9`Af zoYn6_K)9?jp~=A*QR{}W{ecE1Uu`{|=Tk@DM=&`au^}renS3eI`y^lo3YT6D$MSIz!Eo(t|rE^nD#Z}0_(zVvGlgJ%mhg;wVVXhEtIBEVc z9jRGqbWx-q21BK(Z35hZ)h@JC_Qge1o>efU(N)TH))u8} zMAr4SB0XlFu8;w>3A7M2@Qa#W#xm&?6*Mq8!!sxdt4=Zy2^Wcm)kTGaMOUAcCuy)T@q!TZ zjn2pcM^LmI)2O8(Z9LlBb+g8Wri33T`+f@oBTqP`#Uj_QQ5@;gD2Tyu5nPj#1in%# zLug4-zNEz5xUTM9!ef5c0V(1TO=g>Z8cDN)W^3$ z2+TRft}7D#6ykM^ZLL4#CiOMy+aY87F)p`~giG#HlDvUrs+|dq$zZlt_Hq+0H-?=WkXgnhrLoy|)k3FHmEYe_KV)RZaO_73boYsGdXeoH zkI`{iH*@zJam=ds7~f%-l+>*>GSeg{+fOKMx&4>e>{-yE+9oEjySkoWisc@`9~)2q z00o$9PMLBYk&>U?%Q(AHN=R&U8d5OXtP^M3z=3F|5teFsZnWc^h|Fps4uIZAng)ol ziA3klxqQf9Dqh3gNEfm1K?O+wYk0DTk(ElX(GV1rSE14sr3RHTOs6l-ie(h6YCs+_ zL6^O$j#TFyCbc(;>DDPhWYH!Mbf_4pqzX_P^n)m|m$S`e&0;8!Q?Irxl$5A&IGa#L z+-%FrPIlKVJs^er)m09Cjr-xnOiChFcAkq8lSLO_9-HPMtM!o$=LrNj zGN~h+OFlGcWmko7tWC;qgGYC~LP~2>q57#5U3@u54JlnCKqBd_tZ5gh@{FX4lM1+1 z4v-12?Aj_<<{+dJtM{t~H6)l#S5fl;jVtYnB_qPAjXcZ@!!0y0b50Qj1bp`%Yn#H0Gy%M1Sp2)KH3!h8YGI&+}5#{9%N=~xutlYDOFU!7+s!1G(_%G~=)1I+SE7l5Y zK?4?hk8&o9#4`(a02Yj1*OOWq8v+jpsrs;B*C#n0*=%-WC# z{TPw@(#@Z~92EXhRJV*C2nE3yX>Km2v?(V zNv>~XOsF2P z>WO*rOP0azxH+TRn`snK1avU$^pI-|+E$xAn-NMWpXj;NM8*lUGA_u@uy}J02NCm- zd59lx!PcCk55i>SGGWXWEr<`K%ga z8%&e)d0bmElBj9fUiUerEn}I>8!L@svl}t9_)LuBFXDJAr&yTZGKmu5C~+=lS__RQ zG8}9wt0MLX9dcI?*_Y(8=HOj_SH&8X>T+gvX z#weQB1uIT(X_IDU8M3!Cy66U)LOJ{xq!XSeG_kFE_V$t z$fvXiCBtsewxMuBhcJ1>rp@jA+^cg=q$3U5HTodp`66SGS4CULufpaTj4~RVK&~B% zw2w-{`i~C?djiLprmB#iLVXm=Up)uzdJrCduj3N;#&TSCx`?qziW3vlse9R=%Z!Bf;jM!_wlsIH&qlm^h# zt+u7BcH^kfbG!oE&KBCHZQ7_}VM`7zYQ0owE6bPJ2}xE;_lZ_$EapyBnzpJN9FWU>wdL%LC{~sEN}XyWW@j)I?DIImW<(`&n2_x=3G9kg=W0c26dyW(&XnU={u405WzoHvXsHtIZruu7O=h8TieD5+?8XC#IW>%I?7MSvSl7k5 zJ7VS>QJ7(B7tEBcy+sD@f-72h7|p3V1llWKaf;UCtae5Z=xrPQB|}e6VY2wH9C7LMSmDeL zw&6eH7?uVqWu#`em}7+8%DKeYJ!lONh=wLmJIK9E0-tD!4TjqZqPEwSou0<#7sQjI zSbX&4m3t3|!2Sn~vNKSt4#ZH8ODy*#r2X9DYiF_MvO3YtCpOshQ!H{?3{+ayF)fMA zHln9shYDjzD{I?`k#y}g_NwOg(^j?Ax(4C0*iQm?YwutD>k3-PWt9?@aP#tlvexhf5TvC< zSD~a|yb?lkSwbwjBQAwdCZ*~PvnCu=!{r!@Xh;pEyvuPSz@zC7oWv(G6x)ft4|PfV z;!bJC3j%SXxn!wBjUdpG;ZS4QrIaQe_NW@;l_QmW=5Rj4& zH6{5frjqu&DRX*iz6Egpt!NYsNe| zHdpwvb=C3C%cN+ot{{H!zM)6qcMO^dm&N4*oWSu+w`uBVeu2=$mIaJ#z9ENUqI?Wb z7<~h?C6t~eC3WJc(vZZgvuS2tS(LWJDA6cLpaa<$?lPt1T%>~gIn7T>t0U_o9hh2$ zUVN1++W@MH1zdzYlVp=q(gp?7R7xqLrs&lr;YU(FmIHeNpU%5lVww zKqfH_m6%;-aCbNIm%ib4Q1&XHy$oVGK{y6KD=@WmLaNdK0KFdQWnm>tNE@x{hEq>N@>fSA@-K0+s|5})FK*^JErj# zfl_FY3yz&sgY%Cx=&fvZDRnLd_)3 zwx&UazMY?+Y3Y%;DqwL8yTj0%dt>8mp1|<^ncEYO=Ed;kO+MqUw4|Okt-8yY-$P+Y zsk!lh0I4R_j?}dl-42Y6bEi0zcpf=P^psH7m{;EzbEE=V+PQ7n^J(D=m3F9VXGLj# zaOx>!sEfx~l*KUVr==$3=2GmW(+Z-Hw3>np1GchOFCJmF@B zikeLnbUBa2EY}DSct1TYhULZyvjp0v;j6V~WOR~;*9!H@D#Bw9y zQj|hckyR7YCZ^6}!d=MPIv{E7j?XAKFy!R0rB%)qa0iSe*OypIR5RvonSH#YZmC*! znF?3Q-^`F&EuUr>R&O2i!|sWmAblaJ5V!}FSJbB{%q&o=LNH0!LzuSCHX2%1s+T2w zL+tMRqiC>G!|`^|JcfdG4H~H)%%ni8C@W7#Dt)jYSjZA6+cnAsb%doU;Y#V8C{tYl zjp`XG2uT-J2_vsa;c2HzocSqpdVU@-ma?g}7VntzhmpQYRFu$Kw1tH?-$#92Jfn4+ zc3eC`CbaW{384n`On2)}kd`IdQ;T(A0EASMbP z`e;QVsk}OA`DvtF1h0nQMA-Xbxjn7GQd=%3H2w_QQo8dyy+3y>I;;8yPEVzI|r!fSj2{ry{H7garq*|d8D#RX~?8qu@GfvT_QC$#$U_1bW zGcc9SO#cABbuF^ldPinkI^t&D?+!3;i9gbr=RZ#*(FUmy_v;v zNph5fMdxL;@6XylY8b<1DItZ+fR=EnMPx#0>mI5!sU{`fZ~_o$rt|VXFr@31Uj;`k zBk~g(ewcnP{{ZnTi$5heeNQJpvV3ydLSwaa(OSVMSS= zQBsLI)-u?iTQ;D>YN6vA7`dez;U+fjqc5k!tzQSfGYp_7{z|oB{X5Y3re8^l9G8D8rVX1(?m-XDLmK0J|H9>cb;17>1baz(P)Y=rw@mEk4Af4VtY5K)8I9taeS4 z!d=8Msl}A4dUjhQY&JW)yZ4E`c~a3cj$^BsPEc~pJd#mSa)5biVPDA*tgVnW9brV~ zTWeJtHOealS0-9hi|c{7o|=~J z!&sP-RXr5MSKmv0~9Fsy@65r~V3V^A@gBSPVqpB`YG8P(00y**>E#>BckDrmX&6q= zVn=@F6*_(_al@l73N0S|q(sq4-DYZ+Rg_^3rzxlfPpN!9$O%#j&c6DMez*lmPXa<( z%9S>V}SDV|OVaQtqKJg(A16=>GtOEM?)z${9cJ zCC}UNeURBzEU{3okm`_~+8!Zj0QHPB2c4Q!lbDQ}%$)NgrKyylsV1E63_r75L=`JH zEPWG+`6rsKoNV+kR$Xs=6>ck$&I^dHM7VSs1LGApF<8EXlp|+molj@MibR%NL0kZQr~%bmghQ{QuhX*JZtAjR;yCR zqo<4o+M#zDq14qtmh}<0X`mTh!?ZpR{$|fQFPmqG_d2h}CN%GIhO0rCbAdo>&d|S; zO>`84z9gvfiB=nxX{Q)Ux03*z%dVNZS`g}WLctL%`&8p(DFU{wFBzRxH*O5e8V_VG zJH(o3f;@MP-?SE!3k5dYt3fmo41@c)*pkog0+p>lG>D_W3*!5#q3v!Sfk~k z;lr#qGqzi2DcZM$DpE=Cy9#(#zR2BdmdGO&eX@`y-nNG4F{!*h&KWYC4n^f~%VE}{ zdJ`4IC#L5dPjyoh1ymgX|)TjGVgY7FR9jgeO zN)|nK54&6%kR&0O`&GiNBm+Wl2>K#M-OKkkY>xUQm)qi~xW**8NpqD@9_%NJ;Ax zQsS0PQAiD*>d;cFdcfE0%?{wxDg|$0&d9u_`i`J_C3`&@qG2-)sS^my)XG+AmBgAT z7@3tmqN*Wj&?wp|?~N}@UJ{0ryjeVYi~jwA~>*;dZYFSliU{{wqKDkIdNjO+V2mK>g<6jZ2CahWk|e zSZjGZsPv9g*%^vvXIuugeOVAkkiXXjsVOOGcGu2XfRcKGb%hD6slO3gvl1YonOUjF z7xGuipLaNIGPq-nAgCjF%Np<+ktLoEur-#HS&r!9(iYy0Z}oS%9(T@UTMs~ zRHGIk|NhEy{W%eEME9D3utVpX~e|*t`T*fkuWVkf&CJA$!JB zofd1Sy0MAis#T{YlKVNndMu&tBi$Iem2WE;(@Df7e_6_hf3B6EXo3_@N7H^+geWG0 zo$Bis9$jHK4WV6ifKY_3)d~SilO3vSFnQ~@nwd%E6)hpRLT!@u>jgm3M@vw_TZmNw zu^nL1#FVJ;=G4Q@D}_pJP_z?DBRs? zgn)wT>wVp8NXv%dGf#xwP9>s!=h*>M^HTVGNl7l1yG*_wai0^#1}`+CD9pWp>r(-o z7XgsoDw&;in$nt56vTwk!&n4*IT4bie~cAOPfWa}b9v&ZJZi0VhS2M!C{p;BO3*_y zPdvOtEYwud9_alJRfZA#%XEW7?h(CZAy2&SMzaei#uW6z*5cH7ioP8^v0lCrrDMmG z608ak~lUUpOmGK~||I6T&=4j8G@W0Vd`+d$ooajm^QOcaG#@Cl@qfHVqMFr(RxBC85t$rlM zfT)Jvm{$$*Hw`zYn=Sedy`2hwv62Evtq1NURk>*`nQ%$&=rD!xG>Vghz?b};I8+FadQ=u+7mbp{R(jN^>B6?AW zNS2yQMIqEy%F1-C29ujIg@$WGX;p@66QJ{sH#dY0J30ckxne5Q3vMMPrPY1x$fwmEf%i#yEVk^s=oxkA z?-10C-1{?9R6sKG5{NCDWuPLL?k8T5+f%NT?7lNO{BtC<@JcwdK>HmMEtx$u&_+I5 zY_}_nG~OdCL#mvpcmDu*mBY+bjo_+l2ar&%kR_f~(nTCRgdnyvCa1cp{{Z-k`qa|A z{Gr||I;}xmR8?RPo5;erY#bB?JmKl7g(xvEciml50$>mdS(i>$l8`P3GYY1PO>Sdp zTkEr_Hqy^0@%+t-3bTgTtW*?Yn^42OE1D=t3+-q1$9LN$?KukEc$XYXZGTI4E(_WW` z-uCCD>Ea-Ea@fpDQ=h%#43t{63Qajh zl905ML0@zxs7_j0b+ma!y4~ zP0RwC{E~ei{_`inFwgtu6rFmA#PGSC4;4$y&p4L%a!XoPGjNcgFIz$~;OoQLS@~(v zl+*Qfgs&NxnVL1@WrSow`{5~+!q{vi@hG>%eXyGZ5N;_OF^fw-E}8VY?7Z~2bZ;_u zkgUBb6g{w-uZ4Zm1TN|{)Y3nKZLf7drApxp$YKu@RV^!MWKs6Aqx*1`azn7i1@m#Q zqF@G>nue-)4Pu#2SCe}3fbLZU*6veO!jhE)=03i$lC?rPr_K-&+|5-QXp_z#!sJ{X zl?weKL)Tb>q@2A(AYVhMjiF6D+b&PJ_WuAM%-K1Fik9(Ngwyq#{{ZcTRUp+m7!>{L zLM8&0ohHdeqqoYI`%4HL`C_gjd>|>*merSyHH9@mH;JlYDkAmPlrRCz8%o9N^+r&- z5-Cbn3NJu-E8!0;6s)$`Qi345C^DL98IzT}`yeG?qTJyVyFZFQD74cvVATs96rOO* zmf1>tQ#fpKDKVLqAEb>_^};8xd_q$Zl~}XVj|C5JPiz+5LR>@xC4i|rIgJ~a zrIF~IKTRC`^@A;s;p!5_&qInz)l4t;xgP@sAdca=7blb<2vAmSYIKC8ql+)eh*d^P zX$ehAj^g44d83qHSVB@pv5sv!>0W2nBxDq$ZQ5w3Y5Q3{-wyTpHXU>4skroV?4!vM zWP#)%QyW2yN=N{jh7{#pSCR$c{6Ar(43lcAMk_Qb_w0=XE_W85nV)^tRZdJgRSD(? zs$nux$zJjGP*<0Xd|4=zs7UO44oTX+mQ@K=yh&`2Y$?S0&4cZR7p16G0mf2FDm%j9 z!wnTA--I52=I|Z@i3p=q0`a^)j3>U1o>7%4-*2pnazA`Chsn(YKQe-6R@$zVe8U9l zwQ3*}N_AJ?4XTN)GbW~yj~|_~tN#GRHTjqe^``4-l0EFX_(ZTHoh$8trL)e=)F#3bi8r!%s$P7_3ZBg@JhaVZQT$86ZXRGvZ{d5ry> zI;@3yr5vl{0FFR$zXc?ktkE^Kp-A+Z6Ws(>@SjIPnuSX1)-ot7e4|{nqC^~xWukc8 zq-A+^PVV_fO&}*NO0)qmP&m+q8xg0Ja8y^-TyADrc3teC?%hF2p%n+(3?^>`#it}( zN3AaTPqGrm(BU#zwqN$7dKW#kx78kl0x4ZPugpWT(_1%(Ov<<^yLgofLZ&OVtT?y6{6r9RY_CvEvx*Kk- zS3+YAz@+fWBFv%)&AT^QH6>mom~C!h*ltB?jw;jN4!`kaxJzR|l79IA0Gp*zL3*VA zFsm@H!*Y&faWXS+Fr*P$pz&Y#;}ODK8D7G1Nr_dCxfVy=4={B?xM9Y} zdErH7(h$+gl7$@fH!#>*Ga!WUdcbx2VQ5xBhR+(Wqz5F{rj&(eZAt6a9mFwddHKl+ zwH2;nQ;AKl$HEJ;*nIJ3x2QjaC%55PgFui%!o6QALo+yOd2(T)# zWfcIH+!iCwDUhi=oJGWa@u~0;)WuS0VwviZ$^u?dPgpyJaHLQg>S45jRZ@z#I1O&| zsYdiL+?2Q}ZLgfFn)84%=kba&ESD9QhdG5ZpF)KThQ*+jFEx4AIo#<01SvJps6blT zJwaWEx*t^1J3^mi_I!#I1~)GAx=4V9Up1)@GcZejohDgnsSG^W@~Btc z8&=z5hM6f=Qor#Ger6q?xSgt(DpyZJ^izn`@Is~JQWoM33#YqokUKy_iLJm8CMA`X zNxG9yd@qKx8K{>l%f=GxYNGY?fD#*&#DYNRm4A#ax6-t@D^&G`jHyXYGbqMHjP7Y( zf)dN6c~S?YuD(#F5-h!M4YCpx!E(I-CtG`=+~egdP1>}Cc9#jtuo_YZo^TRh^k8=n z;R{)zRS2F*`{eVQ) z9`cf3m3d9Mkkn=nw&~u~j`ONhP_f;fkn^)n zZ(Mq+am5;vV9PdrDR6|l(Gc{)$f~=ytxudB&XlBRgqueLt_poPZ0PDm97wecV`G|j zMc@2GKbVz#&7qY#eHD+sA8>!UJF+6Z>YjfC&E^}jjX72x8EZjb2puv|mJI&ZUrf(*is}q3)Rg6-GOFSk%(W89vRBSGt5)n&ExA?@TR^I+ z615NWcm)9o$e}?$v`oapF-q9EFglFD5YsEuI85p=c zIiiAHH|qr@hC)x#fs({@F++6yAy}zpgZj+~6_%Ga`H^{PdiW+3lo}ygx5^W{NCfMZ zxHOqsE3Qiz3hf!_J#kpfl*=qu+z@lhOB>(Oz$%bMjSf{EAz^Y#MNLLkz$Gs-tlEV} z>|&23uCznEy|FIztKFe&y~f~8sCBF*cThm?#uQV&O5ANl{OYK$gkTdg30?z7PC(=w4=^Q;tjP*H{tzd-IE{3ouNTSYYZOU-x;-pvJBDRUE4740v#ABcS z(sus<`olk&i#ijSNl!_-q2av<{{Z8M5=D24rn*HGoXsxnx&@3=Dzvm0oThgNa2tUI zAYH{}tTgr?hd(xzrl7HNNLpW%ah0W1C{kdZ(&{UjRnSLcnrSoGa?K39!m2%lAA%X$OXboDg1B^}KO7uW63)_r=e={1u@1 zLF9BN;P%CZ`H>ay)-H7%p&3<_^{iCiF1L;(g(xdS(gM~|KrTFbMq~gGdPNLeP(|X( zFk25SAnKgZUT$1EGJvqCZ8u0|p+wS=GudVrEjK8|65~tCph1Pq%+1U``wBW%yE#srzZ_~ykU$*#5M6| zD(l}DxQBv?-LEQwlj`5BDVHvX6W$OImelYk(Uz}R-I;YW^Oo&^jnXXVuBz)BM)+** znAwtruaGN!vIn<7~V71}RpQHaNgL4Ok^nvyg$FxfAynXW zeh`-b08Sgal+|hHuP8g@;yJC9reQwcRuUK!!MxwfI+Ch;pwZd>?M0KU1uAC|Dk|>o z)1+o?+)p<#!>_t5XUO$VWRJQmtV1dFcd7g!f!p%Z=d>qZ-HfUjf}5|aFrV(ivm+5v zwKGj7-_?gRvpZi`^nq`x2rbNzIq$i`R*6}i$rnPVs$%j_slcxP0BRx2;aq zP{iD{gotQOq-9#%DpGumCCRB2IO}7LM)mQB8f~`a<*^2+?79UI577}YCgods#}`Ii zQoEz5grqRi+ZQP&&~nN)+I>(KVuD;E*5V>FZb7dy;g6AS-_8?Q+?q7lH3)4~1jbaW zm8z&bVAGXgfZ*_|`9d(<4-B2gCyBJYlR4?)qo5upHxoOzHiH%^cN~4SR0R&>Nj(vm z`$_Qp-pP((QW&(ju2$#eQs!Fgmb!Z)s@Vx&*q@kB*yL04L4rP(DKdZgv2BlI{{ZbK zzxuF2*!C~~0BX&D^NIZw7w)i{z*`B{A`+zSc&{0B@4xy3H5t@e$g4X_^?6#NKXCqjJ3u% zbUzsX0E8d;+-B7LV_&)(OON9YxRlVZw^F`3LVYGMGW5hh!aiFV)Bd0T0NVvhnZ~@6 zIzPJ!^q9J+byVQ|Axc!%GgTcEGzC7WuKxfSH?ZyB;R370=}Hmthu5SJ`P6kE7=MI! zF+*)k`HQiLtHk08fJC+;k`;gB1sG5=bF^3epufgH=Bb4qs>B@r^a5~q4Go8Ha-VE0 zoGs;5N~US&Is17QW zj2)~^hNhT#)U@V)RkUorK4cL;u~?k@X`PdEi3nKvL@UiTBgpiI<`~&55!NP}O4?s# z2Qo;gG5)6DNB;m)5&os@?fT)Vi)>EJYpSqjkKGkc#q6Lxe2IEp0#K`ybtl zY^KeREDfLT#Zj|(0torIJ462fhBq>j>`JsWYF(g&`-lE*#r9(sO=PioMK-mhaW=12 zY4J&+_C(}{aEuS@W zC8cFg)ldAN|HJ?(5CH)I0s;a80s#d90RaI3009vIAu&NwVR3fA9I|#0LZvCq@VZZHHK~1&(m`FYqp>H^!5;eBr8~o(WUYY&B>SpBK1>O^SF?rt) zSpNXdd;9yM)IcmIPJlOMy`hG-N1n#b_*9n|$ zmcqs-r+&=Rj#fbN+mAh&t-Da?q)mxvaXHK9=0l;^C&xIxp_;_QL0^X-ok0o_@^sst zGFxht+HZsec=*jVI-{UVQ)@imIdi@Mmp!SR&WzU$^kq?795r`!l6ez-{00Fhr46y5 z?ca_%?rZgTcO+UrxFb86-(Cp!^$DBSG}cI+)7=E!9; zM(k3}QQ@wQ<&6ITVpxt-VlO)H00K%b`h~W0f~|l}nrt`IKOQkErUVU<7HfZ40f-^G z5Kx=v#K+f@Sx}l8q{9lLQ@B`Cp*YCPp^DxqL=(m`kfr8?67XvfyfD&yQpC~D01y)F zM^QKE{9-g6ixbB2&*cVQTHSr&AwnPmZfP)QN`l*It~U@?TOrUP*Fp4QX6~aeJm zBc9O;9prPTmLuVGmH`^ za36eQ{{XouYN>nw0L-~|YY2;d9T4lV#rU}oX@U3}Cl`1s7LWs_MRY5@-p2+xq&oA=upMAvrfFB63Fi|@0rGMHDd*HX!_i+rscbcm0%BH>+GsKRF+8ycSHUV@825fAzqY_Y9V1uFeiw-vNl}QD8yy3Ei-o|1Mo4sSg zYjcDJICASb8cvOwOb~G}{1Wgf*Nm5S;1-MsZ!X7KBs+}{tW1H}YY{5YNgi>%2A;2f zoYg@$jS<&qOhBKk1CkTBE^Q}Y8C{U+xPOp0lU!u3E2UzYU~G92_|H)ibTN4f3MsF= z(BN5nVd29Fc9OS0XVv2f(gKaoE64rbIDoDaqtG6M9lH-@8UUT>yzl5vZ=yQ_ZX&q5 zko$1|09f(l3}}Gj(~c)IJOT*P4=AzyZxzPMtHS=jtL&Z2gFko#?iT99cZ@mb)+n*B z%z$`ANsneOgO}bn06!}1sD1WG3&&kg46@+Yl zt_4(ZY?twsCX?3~#04XRZgS}4ae-S{GafJ>FI@+`ip-mtT=jDBzz5z6n@1e`LjqpJGC^I!hK!KJ#^E%SjyGm)P;oiVr<>BR(6 zXPib>d19@nQOW7&23S=3Kb&JBEcu5+>kY(-w~XiMdOvx@hMEz|5QdgGo9{f-yl9dD88t8s8Lc?5Nc8>lj{k$#@-UW+PuX0j&YuesSl6#S82j1#5BuJfbesg(7iFID~ zK`!d*$8NGhon5~0jd~TwI`J-$0_S!z6VJPELQ)h01t#|xqY@FDLvVj`Vxdhc8w z^Ix-D)0E+M!AKC1zDKJDF`k4{>w15h101p5dZlsH?Jvfa#pGNAub-Y!r;A|vLrRV9%dMOtM(IZJg5Qg8Z;f{wEk{D75(LV$s@{_@tUNNLegEcb`Og^*|*aPPa8M8g)o z@Z0AB3M;m&^Zqg*ikk@bVMk-3=Li#VL{YypJDc81(?fEXVguf9wql5q(TJb3k+D&9vXsdEToQ57VxsY3&r+DJim`olt(9wa8yM43#e zc6-5KUl_I#9{WCnJe~E0Xx#ElpEKpn##(^kOZH?xCTCsdnt8JkhppMq`m(D0b9wBm4Yu=DFlxUO99wrgr)Bo@N#2u$`{)S z<#+jF?l9;Wpq0J#f-OR*fB0dzl9~}h3{I33xOFE=^cBT+bXc}B3KbMC1ph86l0G0hWRoqm^bZw7tJULUM10Z5G1{{R8JszrKRkD^6+m>eUb+hwef z^5FDeI5mTENITDgkap)FjKt`8$h2pIlh58raI#13&B4wv)4;bmWpPQ!S36L;qF@u9 zxVcL^%?McFn$FnY%N#i|D*-hC417cjpKeLpA0f$pVB4B0sYUoJS^#(qBbVsy#j~l9 z#X_2PaA2s^!9{&J05l&P-`5w(+)!}gsS;cx0I&vFCaoy8l`90x%Bg;?O zh$4o!udGR6Cy?UHPRWn}QEC^)cNlK~`4Q4rKz88WQB|h(0pYH&(_sjZ1hJ*FO5URB z`lMIcsYQGdsf866`2ujWYZ0OfIqYQIt zg(RB+PJ=b5^MTh;clc(%?M;}q^LWj8%7lgGIr+=Sw_(RiU6Y)L>@izf6oHRy5#cAb`R$7M7&;o(ek<`wTaH=8DyLWMw-u5%KmG_&7r|AH}lakVI zlH^qhmt$PuXry`>VFIb`&gL65WJe});r7Shjc52u#~(Odgz|JgusAsufE<-mA!hw= z4l5Srd}lw=ptH^oBTFD{H- zNm@aoJaar;1&tf2ca=n+>lI>#s_4Z~qG-X(sOfDm9P{+l$B-oUh&=o28Hd;5ul*xPH%CSWN@@BjQ-n9K0G_OrEQThZSD7}w>AlMG_RaejuGf)jUMRn zbA6n5rU;_1C!9TqGK~|bXAYcjGf_CSgb|?tFf3-BCd8H-o<#tsvI<&nI6y<@2U*U7 zG!nPUc$bDLQobbfOcDl}qgXV*R$Kx5YRCA<)O0+H)ywEc=EXl)0G?ZA&MG-N-u}0d z`8(QVEcb6l@J98$xUSzh&3Rp4Of|S?Z|98EMYQGTPA9K?AarJ@z`TVIUUYq6d1sV$ zjU6$2+W27g%b~}L2SYTDP=)WDAA!pEo+D2$8^l6*E)iP41;|Re4e!QFTN*aukT~y^ zf_!CJc_)TUunvydJos@cSb^*grt+GSld_(&3Ed!GgaAjjoNT1*6SYEkOysaGdPWy2 zuCZP4`!WPwY*FrS95W*#T zCkc0(qO$bsEGzUs#tTNlm&REK2X6Sr8&#LX<1IR5;evHT+P8xMxB~<`XM#A;XvM|p zM4BDJdZt~02hjLPgbGbq^EHSSo5;~Tsm^mKfoti?P1tNr5e}Vba67dnMMoEXV6;<$ z>8wypNKSkyh6AEG2b|efl9=9T5?Uqc{&IGL$*wQ~_*Q{;fdMWS9{|N6O`*Ktn9Zle z&PdA*wnKnd)_0-#Nh{jb`Tmw{^H@q=8t3F50+Od?%Zj0?zFa&3P?LG3pbkEK%!6p} zNnBb3-g!Y4i*cad{AkU!PZ@$BIPT~%lQ2F+rO-b)U>|AdPx@i=Qo=kQJz!$O1WV&1 zCJ~&V98XKe^6`v?$N{Tn(-dvOK=~U6;P7;1gG7tSPzRfag}+dTG0b-Q*EkA#6th$f z0)~Jcty40ts1c$bi~>L|3t-#V2aYg=ED;=Ee1BUM7F{AA#wKr#qA>Q{i9v5qfsDh= zYHaw%(i&}EvD?X}_{R8yN@9nA(`WUPBW3#Q)d5xUoW{Hb>Tj_8%YdjI2HS<_#-A#oa*Gb!bQ_92>Q@y_8| zo9!O23Qddv?N?^_=J3GEb{G?8!M$v}@M8X=_7j+o9M18~aD{F9%Y?AEp8Cchm(5`BMG7zLyq8~`3FAc20{^tixVATDmd zY@#$OFF1+K9^gLnYV8LT0I&wqolGZUWJm#EIu8ThaRivc?|lBp2ud(yQ%_iCH?dv^ z&hj<1>&VnZ3R9=X17OC;Ifxgb_+b1*z6JIL*PJvTO9YwSB=iD^u}iYhVUo%sBcOZ1 z(-?(*?gm>2PPP94Oqp9?2bO*(g2TL7T1mGTQn(_1O2mph95WJmCun1;h(JbB|3u>J-K0o3)2rZ}BLPdjVv$nwM6k^KJv zUaNFP_vIVp4j3uv{AS46vBkugQQGLl8xE~MdB0>h6TiHSE}u+TKTwmtWMcBeym3ED z6J1B~)~r_m3n>%)(I82Od)DvT4pgafdnTH%a6)j zV6W>M3U~)1pC7I*N2R;*n_0l}xP^2rT`pU=n%+ni*^m6VIlh_#O)Fh zuNV!*wb8&e7Z3P(OBDsR3&>8faEF0JAr3js58Dyq=7AzU5i-5B9bP!NwGUn{RiG(S zICFfo6!iPah%bi~{{R?(HuOH`CPA<}a3Sz~%rVs2tySwlC|51`FokZ%2W8PW&mQnNfM^&*02j-V&Dh*QFb4bjd1T^) z%goT>cuD6tDX%Z2J!)}@>bXDsb@<0alBn<2AEKN802!=a#0}>q*$ICbhT)FgnPZa8 z^ggu*)9VNvT%&OqiXGSr+222mKqAw!b1=NGWN`R#>{oh~h>M2)nX*lCvmTIKZ~p*<{=T=Ru2|y}LI$XD!?zy6+&wVXuKU(OgC#dIe2&H=$H95qPGyonnL=Hcka5L9_UX7>m zGF9qC9+t=`Xw~Eu%BAAF0q7p0{NiRnY1F~;GfxXEj>_T_Tm2gnT(rM@fHgvMI?E0( zLBYf_EC9!#oI2+!jf8M7`o^lFK4j@Jk!fwf{NR<*D%#^p(Z@dbhbGDMaWz_o%bV~| ztkZ}cMOYi6wC_#GKTYLuZ!_;Sh(Wb@Ea_f%fjX&X*C%elpNxW-08U|xde95wp~rY< z#G6Wlr@nIHjUZJ%NGE~r_i$QWX%0;q^mxX~l~+o<*StY>Z?~(PaHVAW%~D2~d>Y0f zfYklu_v*;!DtIe~2^&qR-Xzf1m-CIK@;RQdWhj@u9D*N=ci*QnBlNj`?y(KOWor*1 zWQ}MK7k_y#0Ewmhz=FRmn#U0F*z@&?2^f?YXOqjEf^o^G{Qm%5abuq=HqL}buAe`C zrUzNWqXwZ9pqCtYHaZ;U!G{}(`W)Zdb4G}HUHrIU=#p;@(LbY!F#!Y!E6VIWZQB44 zOMxOO9Vjm5vsU9;D@A$Nj-Iho8l-Mp1r=k?G+B~>x{mJ;87)DU2PjkcKCnX+&2SdE zP?oW_IRd6@6g1^Pj^uHyG2^gkO}o+xJdOkWz8#aJdIHrV=nP82pk#hrp|SR40kKB) zb(d;&UmpJec)`VidO0#XIHNI&lVp8p=bU4VVyF-*cv_AKUMZT8k0ayLgKY01ZJ6e{ zd6~800N9cZP624N$RQ>L9s}Sy*Vbz+s}U%RF3BkJVrUjQqkUc$&L^#@4tu8az!J=8tI12jp{JByjT(JYgDi z=FgI0Aoio7>q9l(0Bp5_S{#LL*OmAE5?u;Re-VDOno11suSrkFBsma6zZhxq$AhfV zL0cRghET?f0EF7G*QW>+NKK!A)ScmnBFG|6%c$AR@TrbWY=GCSxRFgAXF11LS+m^~ zMpe(j_l>6sD~oxld@O0K^jF#aG0CnGt){r32|$$MbBLO1!q}xDxxRhkM(G!zTREek z9uo+r+CkDIrn~#XB)9^!?DMzJSlBLH3Kc!c)*MH(sjzECkk>8M;W&||Pi+U@94s;% z!mfZpY2TCFBE&8&m<|a8;mmFKqt+y2CdeOU2k~eEec4QP<5ELX)6F z6xq%oD9}6?nY=KG8%Pe4VDQCCqbKrCO=&KWJBGB=OlT52LE0qKCVw-!k0{;L!H|vGPnfI*#+C^QY z_m5gC@fNfU0t8*0b9EPRu+e~P2NY4$TW~-cXcnk=4PdJP8?G7e2PpXNe!l$$H5j>qZ$qObDd1{-`-~zi*hBocL`(ee* zSv47S>j_7fY1XmbV~%pWol>vAU*{E@C7}4HU)TT?P&7;z9k`7Hdm!&Q!_oe8q;LaY zGY0Vk=m#D4xEoM)VndE6SULqWLbQ_Xt||_{S%SeH^v#UbW5?1Q%|GWHk7l~NZnxf> zfckY#DXTj_7|Eg}q$;03p}_`$6&-P*`OYuuV-E^(mhwqtaf*4l%LZ9f&G1EG-8)RccAE!J-@D^`$^=wPXUp;v4~@X7z%En>#q- z%nc$50T!SIlGi8?%RHBdD&5U_!ijnyNS{623AZ?Y_-cpLhg&9`ehS4LDbG#0Injy5 z7v<9$upuPYL2WBwYUl{SjR~5rc+T+XI2?s=EuuVbbL%AP9e1|r?hPmFpXTOs5iPAaIl&Z~3hTxK+nKmv1zyer zp>3Bdp-U$IaO$ToEGEZFE6-cOWa)WxkOAuJ2(aVDzVcQ7017+rAmh76zK$+k5W!Rp z{_y;e8@J;Z!WHW9BywWZg;;-LDNgnT;_@3cltp5c(cWFymt1~7IFKnE8*BVy6?|=N z0j`Jd0xqa_Fsnc99`Wt!H@P9NAL9h7$e;&m-~B%+BueTolsbL$o9vftXGW>EJuhJ5 z{1e-l3`2>FK)0<7(hIdX$4HM0W0mmh?HKRKa^PD4@5+HX#v+=C$7fUL&v;VUG?MpOIM%xzX0TF$>@;{4e(4Jb!aC>$JOVP_FN`fQm|4=^;_JHL zER!)pQ0xHsUhqgO+Z$`we^{qwyu?f7##>%W=kL~Pb5V35c_td!Xxx4=HQI6wy-eJc z&|JC0aD1iOKl_d}LK6~nem{(&6uqy!*(pV>IP;Yovg1!b&Lbx~L8qCILmq=~cMh>l zN{SyMYatbjc3Q_w3-&lpvFitglbxQEBAU~k%tbkoOu3W}W4w#V8`!?`jNNc=ymL@{ z42}|CqXSmAaNTjOb%+c0?+bk1OC%nHTaHFPK#NE6;J74|)J=YH<~nY#!RHcDa$Z7y za2X}Zcend4zBoW24$bYt!(x+921O*0x!zNIM%C&lT{?T|ERm7AlIm{$uD6pw5H+VT z<8vaUptyFYo7M>NVCezAc|RYlg>Ze;cLT;JgA=}=JoA<(0GR_&)7kjQz>Zk1)AIiS zjG!YjoB91sHitv*UncsZ?^-Y5T4j#Ky0->#MIV~uwRfugeBI&eBhbF z7Q=jwBB4dw$E;Ky;Wv!5mE^iT&+(4~jRgEj^NyMrK!opj8M*3tr}@W#3ZVoAy(oV3 zb*p6_&!2d>f`mG{zPiWyhL*D_!hsgG+5O;AF9YE6?;Z-+GwS~U+~X-dFfZc`;huo( zzA?a#hQtQ`M4#wb%^))Q3Wj{tD&To4Ky9SjUo+HwbIljYy>f;C+tY;JCH9f`*A;ZsnswjX(`PcG;7 zHPTmgC3NduW$pk;M*@jZ!WA?_&;DY84}=B;RPZKU^;c~%wOzrq*72@$B&e+i=M@$N zG_#fe02l))v8r%9pZ4-xZgd#Nu@5#L(WrLjk--rPcUgIE6VDy8N(|x{#sLA?*}%DB2g!PocDS zR~Y(sts3L?F^YlN>DF@qB&~XKO}=Ap&(>ZDLe&swkwo@o@ExLR!8NFQ!Ay#du38a$ zaR~$&a-5N6oMkHoq}CEOIv9ZA9_9uX3GvTZaS(%k@SDSvc%z;U`Sq7jLPt@=kO6e} zAG}oC5yM`4`^d}eUVrJ0ZC_j-b#h805cB?9{edDHTGyGZDrmH(Hs2c7DilPa`N5kS5O)=PU%IBBS04)uT+=h%jP86|j;1y&??;?Nj^-O2}e}&hatuU>2wqMb6&cdO9fpI zoRm~RXi!eFeG7@bu07(rfL)v?So?NLyoL{byJ{I}0{E`?&Miag`OXA@?X%JAc+Z`5 zOuC4c^L^vEAhFOLYsaiv5isyhgCP|%7oKx~2ZQ`$SyUlq)-)d#Pi{d%*f(d_;}&9c zK%a~+776d`>zs)ScSz(Z`Fn6Jr^!0}&N?$vP^jNn+i4v+&OmpKqd|2rM*DpK0678+ zPs<;I8eSU8+9sU|aRnf*NsOer6CIT`_QbUXJsB(@%;XrG$<~m; zfW?Z`>Ys*99MkcfYiHcZHxh$wQZ0Fo1p;D+N=U6Bs7#^4zB(YNLD5%1n{0Q~`KWvk zjMOMo9<%|;9Shjw#vExxS}FYZoK`vjXq))+n>F3d1Gnj#`hlb{W)xU+Muq(217P6a z-V>pvon;9a0Mfjt=OZOV)oG2v29#;<5h_|dwS9iGWoic9z2#MPz&Da&eXru+sxOd> z<2<6U($6>s3AE+k{^Qml7sGkpFgw7v()ux$QcWrw?+75nfC{CZSL@a#8)DS;sMFle z%DvG;TP4%JGFwu)oQm0q&}ijxrQ3MT*3hc+f)x{yi7M*Tvp7QXb|wj>0HEGMvTvOz zA~R=Iq1YR z#GW+z$l>e;rNGT)DBE1n$P!umIO0)OGbK&N3~sfj1+IEYBhcT`Q^r5=J`V&}maLuR z=&)!TrzCkq%~QCkoSB^u*cNzryo4|4J!9$?qC<(D2OYhBjm zwsc7lu=7Ei^@W{mVC+s_C!8xITeGyhmx6>w3*Jk>f&6}QUmUR7?+sF&qpz~eC2Q)PA)=|LIkeIIWhSW0TV1=O0v2<=TUS2Rlc*N>? z#q1z?;W4J(k?y}Zd24w}AUcrFo4Za?8iY*b>K%S@d zm1hEi=;Tw*z2#>Y#p4>wR*!}kA;A9t?hNc>b~^QeG}M6~&UBf*IJYSGrYrSGYM+zy zb73HRXo~dqPdPksNUNxL)15hE`8PI&sIU4m&xsNYdpg5Pfk-z| z_|GXPfWaQQH{&*TF9s*K6++uq-_8QSiVc?IXp9vT<2yL52x~38V%ZPm#;G=e8hHF- zp+1r0ST3iWW=CnOedmSK!hhW4yMU%x@}9Fwg?FImtl)v@ ze!lPKVLYAtGf@?=u5(HNH2KD(KJR~!GXb_BQ1jpK43~c* zL&j{{E#uG5T!1JdmyS<3g;Q4|c7J20MzdF)}?4^@o~4tbGjEL9yHU z#E~)T+-Gh>Wg>J9$C?R~Xoe>eYh2zi3sQsSVXd)5*Y6;-%(Xf-T^&osHgu4HZmB5g z+PB^f*b9?^gs4Vv4}4~m(N2@WAx`IM#ovcDECWLTUK%q~DjO~oDqbEO*i>k{1p%mY zb8#hX7NdUe=Prym0B6{ocfp&eh>MI7_XiUgV)#|Sa1Q!klNg4sv_`YZX2ZW200RCP z3@KJpd^w|QIP<_2`oZRo^}>T-2D(|!Bniu3xxfZ(g$2l*rq{KRL;_ha1xOQRfvsy0 zQ8W$Cu}*zJg)$1Ow%$MOVyD9dh>aNq*sB0+swZ@~z$bN-Dtt=~Kuh*1&S~F7?vvRJ z0BSkF`3Tv$vXAI&)yQU$ED`bn&F7P+tYKl>*h7l*lkQ@XcXi7-j>>hGg{W;41>1L2 zAGkTG^ae+(-rsnL8zTAeVH#1CZ8+uY_ufl7I?D^$@rar-&96KE0CoL<^9Npu$MdY; z;XPAqm$uY*^D-jku&(KjZCN=-d3HpY4Idv^{1(kZqUvzdd}gqKg}%*$KxG_uGXY40<)tDMJLErIGP1c3dG@MwIbaYxy?djtU@nR|>kQ5Oi zgHaqQPQ>70gjW9mqF=aA9Xs+|nV@|~+|oJs$!WqC6{t+*bmp${jzu1te8T#_p>Tjh zvbpz>LA^oI-XOE!-ZX}!yDK5|=GF&Bht2(gAXJ?FpNWug_9XJSh*~c#*C{i;aM^f6 ztu7e=B{*vf{{Trvx`TjuCB_`4K+dOtyXtGa=kqqeyahT>TlD>VdT1IB#^Uc?=Jp(j zX3bP7quJ*pOpg8#Q!g@r$0SwM0D&jQa4ts^R?X zuvH_V*V2SK>`t&rN+9&!bzP}%pcjNMlWL#46g948Js zA7q(!ub5(*@tQR2WQ*9V&X<-nV_rKj?R7cvpdrwvj;G#jG>te<7`yc+*Fx#-` zqF0z&-;$Sr#fwCX7g@p^IT|?YnV{8~%nM9Qy!n4blB)6)2|8vtVAv>BUVLB{pp*^F zhso}Z>YbVKmG0l^{>aV`6#>uJ>jz>dM>}@neVy&ejUcb&USgSys zxw!#v*(n-s4kD$1Y5$O5Fg*nP6TcD_Haejc(ULMTcybatIDs4be_`{xC0Z6$i zq*QaUQO=a};-FN#? z54>DGhn!z+h!sv0uOoX8=uk=-v zdTCehDB8o4bv{h=*~<;$jPgwu{CE81oBISO!Z`l`7T&ndbVf5=w>MFw#eph6PRAx4~5<|)!L7{f` zxxs2OJZsD2jINWhsr8J>18YW_xatI3#v%YIP_!^nKp1382-Y`oatAHp#I{nOypqIbf$17h!ONNU1ilz=EwF3 z32A6?@na2bU%Y^ZUUW`G z5@olq-hz!@9Dg{&N2Ua`(~b9x5*qs+{A`oPaYVsx&z>>qAL8qZWfUI{zP_lV9R2PO(0y$-(fXyIrI z{;*&G?m!X068tE^T4yuRI;-P0QoWcdmHCUQ(^zUeV)RBRV{B+}Tw*Rs$E+|aJ}U(7 z^g}zDSjp{6a`3v_!H*E8HeKP>5AOn~8tq5ja-nS0Iaa!pl~gf^^EGt?Ses6sj1*UG zpBY*1OBxO0cCbnO~zUsx;>iAoa+|;@{rX1Tctu`uM+Y!c z0Vowjd}4EFz7jiAWwF-qNEF+oH7#MMkmWLm6idhp6$?A-2a98ftjK~Fi=E`mSlP2} zMwOP2qzy(a3XTXzca34+5CsJrdEr-_-4b)3>-pz4uy+a0{{YT%KWrlu)x?KLR>&KE zaak9F+ZubRj!QwC0r3773s;*U6@tx%wbjL`Gj0B(Uh$lj3vUluc90ISDI?|G$c0>o zjJKHpcm&z~MG|*>)7!`AH_GE0yE5J1tg@KWrKY@<45|t$R31(?+-SDQByf29%+TK4 zNti=tJAbkN0J9eAvm`$%lZ?L>J%*dCpMV3=z`RuJ)=q?oQd4mCt*#B@TpDi)<;3Czh0r^5 z(Tu~p3=|qVg7Qy$o+)G z-jBvL01f0v(Xq#a9^>UP#*cyqy%{W!N&`VtpmOIuw)f{6yZ#(08N;ap{uk#i#r%k- z!x>iKV#u#PLN8nLB_mpUjy_p(EVHaKK9|=3oj{zGV z8{%>7xE*vu4pryYY{JhQp<{%f7dCs*j%vzJZt`vrLrTR4f%(o&iyN~X0uOU=(sr9Qb^rM*!S<=Dfwv;VS{v^47s2>g4TmaO_1Nhcip28F%J!^ z`^!jxDaAS8TycOr%~s>0^Ob2kIylN+kBk~|bDRgyJHUOj8i#sycZ{?x>&6X@8UgKZ zB{6tHQP@uzs3E(pqt?D~Si3HhFK+e>T8NGUxw*7HGzVe%&3HkUO0XpwPWHTL?0XC(=yQgg8q3%xM6Jz?oL$UZ)qaJ<8AFot`1~)|hY;ls z(iV?1onjlxl}lCfbCh7vsZWG%_b`{GBSz#82{ERR`wz}Gh#g*caU;zh#qxeXu)tffnsj1YsXl$G{tT3&h+t&*w}LC*OyquHnJr0ez9Lr+f8rFO-$h*95OVW zcPyUpPyu*117p8`3gJ#yAJp|Ad|w=xvl@u-6SqJ&p76o=#yZ%~L5a=VIz41^H-%{A zK4af`%7t8dz(*rqTn!d4(-C^-dB}_7S;nUKEX8ih+2zT?TZoV2H%T)?4JQe&&Ie)- zjQwGWK>$kRbk114U9YTelxS3quzE5-aXlR0k@6Y z8XcDuDsl=3hZY`jWH!74Id_Nw6?9XcGQ^QkQ@l+@N3cJ!lGZl4JY=eEv)1Nbz^y9Z zSmJt7laO(?9}XPB<}YA4#bE;Ya4W;QfsbMrMr`PlNz;?+q-;9fW#G#|dMjw`oXvHQ zx`TuXLc@1{fui>`VhgW0{yX&4>ljy*SM7P{ zH6$gA^ISAjI~UumX4bs9?_K%De&&v{VffYyVNNm3QQ~9IV{?HFmlD8F-~dDbABaCXPB{&Jda*xn%2C;?Vc z^V5}@MH_H9+g}Xu_{QT;eD5YI0$i#w<)VCj7=D*Rnr(hqh%w6m8(Lrzm1m;r2>f{h@0mR6~ho9ajh>8 zcBA+L5v?!I9|o<{)$caA$I1?;69wULT4TragBu%7!LRIe6jJy|pLwf> zT1Yf&-cvFxvGQMYSfsc|#@h&KelxEu+O2l~0P75*H$%!@bEsV%E+lThLL#iSr{~P^Ry{&*U>oslfR|IU2=-ovl1q2KtI+LyvHb2d3B%p zPck~qr#y0-#qBxbU|K86{-j@IVJnPn-pU$N{c2LqS6($JT5W6nS(8 z4TVFo_k;qo$eMCx6k6TxFgI?L*^H!+mvMEPRKOAGf#IIKh?^C{UkXiw+0B??SN(K&c z7F*rQxKyK|YkN+*_`)IQ4QZ$4ZWN(=>Wjb59ry`>t7LwJ9j=PrOqcmP-r zSR(3($`@uH8L#2N6OO+b0ktRv_q=T)QJe8+IF5$}f$hn4ObKY&jp^+QU_u`yVBq-W zCLtm%K9|C{#tZ6}*^5!sSXi91(zIekD&`f+Vfb;Jdwg+8gz=p-< z=DP?Da_ju!$E6PKc3?nV{H_h)gs^Kv*#7`HQYA*b2V7jCC@;yK<(&fSu<^fG7eX(d z8Lt}y_{y3xmd1tHmd*I;(DD#WP{n z0oW0_sI9U3&Cj*XSDfBB!gO-}U(d((D!82G@ALjJ!$uS<{{YNt67JOh0DQT~CEf=l z#{!Na!GKy_uOrKcNv@0Yec|kaKFQCu}rEEnCd(ayO6-@ zY^7cbYdH0}aR)PBId;>Fb~E*g8c7EMzD{v~Dm2}`6DV_50T*zj6IpW8h+N>85c5RZg+R_loQp%>>j^K3C6XD%zpxirs`K z39s>z>CX+l`p(?M2KhT*?+a*>PL$J!KR9l?b%66f3vv<0kmJp}z2jcDDL)3E`Hfv_ zg6n<1=L$lIj|lDal^|L*Oh}Be$^4(}kzkbWlOlMB1DATkPBJ}?9q>ya8fDwAdFuk6 zL`Ru+{kV;myaQXeM$D3i_F?mLLJ8C<;P~$tY&uE!`gfXonlcA`9_y3>Z&#()kMo1! zCxh8MV>Aku0q+6v8iB$m7(H@}7zw&-pW6@cP%iwhR5)}C5)fb9v)|VbjHT1AM-eJL zzXnN!q(jAaYgs;1#?>B{nQltP?z3+GrIw%h* zzeF)PKBKwC-|dsB5hcENT)0Rh(c_HU5UUVPSfKVZb0`=80Gt7#GT!=6&Q>SSpu;}H zB_ORDX)hR#qBV59sodulXb}h3zB;|p7!rY0cgH)M&Cnd>3B>!yUUF(6 z?1Rb9Ja2`%ds1UqoX`QFKY z_`#r^@)P*Vj=6oSzt1^pI3QMCPJXay9WMuK9=PWnSs2y78|iVxkP|iJ#v>|AceEb~ zn*RVs9#K7&AAyEVhzgVewdx#wa3qs2us*BpSA_P>DKtj9biWho;``;S=`k9~dCKd4 zIp@wJcy6OaV}3FS=?@p$`7(kcmgUs@!-qk%IC}Y-&EVQ@z&LW5_!g>s&rE!r1OaE~>r^C^(Gj z@!2I#CT;BV4S@iU2`wDBqVlPy@K(%a@#Nn?PP?4D9pqgfnJ} zj+3(`f!YxiZ(w(vs6?&Kzd5*@ zl?!aY0h!^1Wkq^$f(irJO+&{xM0L7RZjXt^FEk?%;2ZScyc8P%9gkl)x7{UN}!BpOzUz5)-vr z<3QKFrgDqwX2MaW#4ZA~oV*D6)%TFC2MriI5t#}@U9~x0#4*%=oHI}&^iC#B;2ubG zH?A_K+Z6j9alhN=DWD)j{>XDV-fB374Ym3iv#8>aSt-6bz^^{9s_?hoZ)`3cCgS$< zmHbgiv_WS$Z5~2s?dSV8D092Xmd7ffY#bu!0&(+j#(!+R8`$5EI1_&!qI-1wWRV-F z+;e!!JQ|xXuIH>Yxiw?o#-zg49BvNl*TzD}DoqXR!J7g+N(WuH>kXdJq=abkG#tKl zfto}GO1^1%z?hNMARzjtFc58Zba+4Q=AfB*!{Ym^=*j^|$^%<~2S8=bgtZ4g9dnN9 z6zCqzDJwa`!vl(81U7N&9*!P2waDop-Ndk{Th8@;WJuL2=>2%OHwf%kl~2|Q-pL!j zMaY!qSV5;jf8Gf6Ckem)YXo%3U3l?vz+DCf{(H+HB(1(Pf+Qy<_|`!R6wY{_alnZN zkuSG689SMDm#+J0DDGL#sLN!uOs~D19HeE94Wo~ z#kYcJp0&Y}w)0x0(thyL=>Tth&VKUB>A>Ur4n9^ACr{2h955kX@0@yK_?U=0Z+9s# z422BU)T3eW@i7kZ8shKR$DD}L+UES?u)3~=bUG5_v&cv@igm|3!T>?djnUt{tOht) z>i7@d4Jgf`Ckf%}yhbQju7iQ>`ODQ2Q2--tzvdxnrvT3A5jblROA`bO;r{^U z9wyLm+OCo(gu-hVa2L;Gnyx?z z*Zv3gG(E>IaV3Qrg{jZ18nv*l&Jf^@-N9--SLFTR4X_EqVo(UAa(c;Z;s~>a_{2*@ zwYnF|592kgU{_fNr~Ar!prVaA`A^0vmag$*`e!y%{{W2SQWw-X$@ID! zPk2reZru5EybGcJSeY-R+WlbDvL8FkkQ@aCFV(;k-9BOD{{VP0Q>iTT$E=U)Nc9Jx(nj&TxC!Vhb#+fp7XL}%6tGwA{J{{WUC_LkA2pJpd@ zdazs|=jb*_>p{*x_0b+H>rQYL6=I3vhvvA*y$@w2u1#yiav^mk`2;+1o&EwN;q$Jz z&6HDb9f_OPc##}UVaJl+_lXoZgpAd|b=~_P83_6)JYg?mVcETY@W%zIJ#qg30I^&Y zPU~AE>w(+bU+Wulkm2BboPA)~1+OQ@4ibisV->nDw+?6v8?!GNY@x;f0H^bYi|NQ0 z-n+!WoYXGW$+NR9;YJ}*5&#Rm+*;4g_p}{<1g#?3m#db?w^)N6mME?Np5FiyeP7w)pr?18o^!b0@aU21Fcrs|Z z$ga5Uk7E5NS=pxVt9;B3uT>yOkPgVUuN)Nf;F+{PG8BJHjhYk zgCHzC{{Y*^-5S(>u`YLWQ)}xO&;fyJ{qG{aRS0gI*yZvQ&<4i3!43kR^scj27P(2; zn&Up+QxC`RIH;R~@;0S$;i6lsB_L>-C3doUZj%s3x=&2U$v zK62p#Ckx}e(>iCCSIPdxu97p^QBY@YI7e0u9YXJuhj^$ZaAoYc>}^0bI5c?r&5F9{ zKUu#l^91kHl*AD(hlUWHWl4<=-UXhp!qG5o)5BhUNY1(H5j z-|Hl3WArH;Ioq2WNSt8Te~wY07vnYjCT&g654%dbIuJDPx<-4O-jzA&i(tuhdN!$W%IZZJV3yD zx3_p};=Z)fO?Q>k9CDo5({Z5Dx=$LJJr6$gCb{D$$IcRug6kTCBCvy%-#=L|sS+KA zgY|*3t1l;m4QsD7`saDV%W$*Ra$#3Qf_H8SV`}L)^MI2})Un~-?yxG`b z*lh9R4s~d{{R^#O=&~uE$ss)_&xCXLL1ungZns@rYJ;(bGUL=Uj+UG z0M1x)T=V?`B0&Rg&&~}gI!L}9@w;|FjPX`4?K=T}G9Tv_#>(ukBLMgzlFFdjKMwEj z4pl65aWNTyRc!n}SbV6du5@p_>Zl_B0LNO*csP}7)x);o*nOKW@rR0#9~(?eJCr%S z{qQ+d>7n__RvY&FK{$Y1HHAB=fa!rTs_4)B;~RPoPg9?H64V2J0Xa6g#Gm87bM$a( z$m!euFhZZ0p0iRm=RM^E4Mo^DCv(PElcBJjZQ~|SvK;o`EE(A*2u3?RUtalejyeLN z4jnMGD1Skm+X4>OslvQE&Q+1q2Y3>5t`g)+1*niK^FA>oe9GHbS@{0gp^QF6&&11s z31gK%<19C{rN1tA!Y;#dMFYX7I~Kh>v~aVE!m3(qjEuaCPLd~^yXSr8YY$yp^2vY6JDuD;kA~ta&eV;x#dK5SiVL_o0 zb@U85ga}*?xk$y;0Ig(PKk zFvC;@C-4vH4W_U1C6epl90jly7&E6~dAi^qzzmE5bL%`YhTsX3K{XH+$E-Lw8yF3a zu~g6kszyL86TKD8R*Zkw*cgx@-vSbI!$3&1#Jz1c+FKl<@vs>H0Krz@9y6gbJvSIT zv5Z(9-`}tpkPlebfY?GLvn!>V3>9v*07Bx>2nuc3nV~^=80?^UY>7hzhycL^_yWNW z4~-kCAFA#D01f7wZ2%`g8*W$uL1^c`&?4fi09U+HiJoP|z829)0ZOILzCYSw5bJEW z#IvlEZXW|yI06`K`dfXoEiQLWFjbNO6Tu*$sCWZW4N2oa8QT@?_tDg)ppu9H0EvhL z5tewnEd=&(x+;vB2;h-P1S9pp00`Xbg+Qt8G6m;h~$@(jM2-PikEvt}dz0M`K^JB3I9jzUg~w;Y+k zdN$zDbLL?IE!$Yw1NaGtiYs4kl7jx$6!l%m0$>3}-~dax+(IlH*MUv0l^*D2A^{fS zxTpYMiXU?+Y%81(W=I365-?x`jt0mB0Rb%rt9P5V2d;MA!p=o->6$ZHfwz!yjE>ny{oV$=2`#Jq9rs zD{q=K(a8PB66vbo-YJUs0W4!n*?J683`RqCkVrsulKW*DxC1@`$#tLGWL;-@RNawo ztA;hnvZw%07X?cQtSJMBNKrfh2DPz!Rr3G<00000000D%K`f|9003Y000000|HJ?) z5di=K0RsXC0|5a60RaF20096IArLV^QDGo)fsvu`vBA;d@i0J8|Jncu0RsU6KM?-_ z@w=0`EaqHXT&@o}cVQ@mW6dTwEh+o!2x+tvK8Qjl%u$5<>jig~Yr+;{MT1eAAoeBE zfFVb$dWmdc?>MhC6|DP3#kO{ zv_i-?@=c_^U+mZf80E6~`}y~{RTTa8_<~!6Zh`rVTZfzeej`k64aFmH_Ow8kuf5{NHiVH_dg|-CBj-x2ot>Dc13{t5mBD^68vA&anGXeYaFMhuoC0GeY#+LYsshAoEpv5$jnOT)~zT8KD zbOK!BC>kJdD7=!X`M|h2qB7!h#kwk`@iB`vAOl1JQGx+DrzcS3S zF3E47ZM%B1l^ksL>GsNqyE+<9uqqVcDE;XL-*GlP=bldcj&A2;ODYAhPt=7aOcfZ? zK$8MDXKri^e=$I|X1I^SXj0g&WZyFwNJ);JAx5;8er47Kf*#tEF4F=w69+n|EM-!X zY|Zcc_w$@7qCstzXu3rL*e)65T3hMT6?uh3W+{3z`i+8nlnlJ5Mf>G*0wUZO=Kla7R9D|gMm6OgW3$p_*dr##NkS6zBSK?EGiHB^${ookdC82V&Ve^V?{n#7zbpHTiW)Pu_!VLH*EtgPYqJoSP zjDo0yqES+^O2LwC+rtwqqAL*&ovuJC2V17N-F83&2sqG4Al${oSPlS-xbxTkbc7Mj zHQBMwJwK5WxBA4TVUc%&2n~kqK)|ao3ut$3lIU-nhe#v~5f?Th3vlvcOWSc`Lu?}0Bd0TRh8U|GX}lxUM{y1|wT2;+2g*&armZlQlSA~L(2^=Xy(1i- z9$j~XGbli;g4+ip+l6mhd>_cUQk_7kDGD6K<$0GDn<88VVIUT=A)FDubg3~)KqWmQ zy`?tLD4#->cM5h^O7jKgwPh@&tNL_Cdm<3%KaVSwn7RA4I!G~luD zoKJB6G!cymptQhMiKuEeVmjD@ZIo3@E-lv4SSx$V-C7_~VYx6Ex?oZc6k^N)8m(@2 zC#X-B4u&F4@f&s;5L-)2jS{w2s|ZENra6z@Xf7kXz%v5a-1nJX*ZB(r5R^?Og(IQ> zB zzMpXkV=!xhj69uCXZHLk3Iq#a3Dl{G(p;TAN*}*()*&>E6dFx?tTej zKJC}$%*j{W63pn!J{i$MZR4}6Py=Pg2&>QvCIu^l24MNxJxBW+To+*og^*}4(G;eN ztWt(tM9yQI2t#4aN`kV$5)&^Ixe#Pt9y|j>6L{VQM$nN6M`Hf~J$NDN;6-yj@Iv?Mt%iG! zHJ1cf=mkaL<_p>%j45g=qAsWcTWCSS22bBk{1E0XNH%mR*+b5UGmT#JNF_da>8O4oUFM=}#ogPt95YCC13)O=6CNILMW!V+6HcTlPM*^6^Xg)AN zV8n{uKvGcd%s%1(%tC;z5ugmbLfL4#f})v!5}GLY_}|`d4ZYa>U(C1MJJH8W-={u# znFR(wq~IM4on~H)sl}Q@oRMttr>7FeEKRyeZdm^6!v*C0#iH68=F}o@1=zqD(+I1` zvK-bF5HbZQVh9cI8xCi=Fc1yq8p=YEI^YMH6PXvTx6W zzyu3nO+*L@NqQ8*T;RuPqJeC{PC8-Y;$tMZVD$D5ow$d0#Wlh$V>Hh#`79Bp^ zv)9ld853$KO&Y7c(LN&E%v+W(T7%O@38fQ!TEk)O;yQ>)D2^roeDj<}` z!5XZ?<_C~3-@zh<3hE-6M*X;SV9%l&V06)LSkp9;Bu4tF5cH*k4iFaLg1+S_K_VVm zoy#o?H&-)gN|Y##wTKTsHhp)NILtMVz*Wind;kb6lETUm&QOVfW&M5W4Ai6LDRyYk zY8jLlDPuWuQ$x{Zz(>tZk3%ei+P)H~lCKF9q(BIONdU#@GXDUAMSy-G&=U)^px=Vd zVh}9cypTu0?zWo(mQD=}Kvz!(S*F}Y6Dj>5rh@@h1qimvR8Q|2lhI1L9!!WI=dYCC;d^BU7 zhOjObVD!*Jjfh4zL?8+}e%}m$w=*nTDR8qFa1l*#J&6|Sh@1datulgX#RO%0#A62M zU7(^F4iSl#@LS$msg<|yoUmIU1l7eEqB8^~UJ!y(Eto3Z7TryvoHMI21;;a(3@YH$OW_tE@k3SSg-Q;)rOoDgJ`o+wpg-G* zXwYKsqj`{clyeEZij>Ue91O?{G}GW3!ZV$xK9(7Zs?0H~G!nyjg#oT9JQDOSVh+R# zE-GcBdoFrMvkYe0j4Hu?>ixbE1XnUM9kZvYBHVzjj8Yc^5Dgp&R(wPdd$DHV zc(`0JxXZj6BdHuhsso?{ejw)%t`;B%K~;dfME?NI4>Z8-;tT=64&VTTH{JXNR_G_FsDGs0_d1pG`?*I2mS!LvrbG4PH*+#r)UqvF;kr!Sd3*xb`R7z z2(H-tbo8hp!5vgMQnMBa<_>;f3L-2+Iz&LZ5L$Bp2!((PWh4u62$@aPZ2-`+lSW5G zoIuMN4S@qooj&l&TBY=XT08*r6w)VTS1idBphefmfScZr!Jrl>Obi)GdPjIL^c3aD zS`rxm!ZYO$LG1gPfCLzDWC27p3YS2pt|27rwIIO=i4%M_y#YilAz9xUg?tQ~K)RVT zjaA9qnd72S9l$-@{6w{u4s;=C8*zWH0b|M@)fWU(P6&Y)4d1;gD(Nj0VA!^RU??pi zHlQw;zyPfczKx9A1Wdir*bGjz6b%w(oP-5!`%x9WKW zo`hz2rET|}*40`;CU^aK1o{>2h^VzNQQu9jT;eez>)s%g=>>w+fJMhZ_RZxoQ-*t< zs9KP;5i|+}p%6eeomK?k6LAA2Zu|p@UAvIv*T_9(zf!<2uHJCFt22?E5(I+>T}jN3 zm1*oiR*f(?C_(9b5w3IK1Mcd>yuqz9N@k-_ZDb7(ZVdf@a8!5K^U9<$MG(7k1hs{{Ud)QCB1!A~O%W%5$NP)MooeKyxs5X%GUg+c_(Itpf_) zuI{q|O&1D8IoA|!hf~-s;wociVmTP=u4WFYYSy0envTpzEpF(n(h?QCMMO3i`tT%Q zcVSf!f>cw?A#fK9s?mVF^UNj2tq>!=@{DvNqt+rzApovY>xc!5L`ue^f9vo4i=)Oo zC)oY}03uwViKq#vSF1#T1$CpN*f%k6F=J^Pgy?oJ6l{D701=iN`v*+t7B95vXw>1s z)XkV2#a7^&2m-WvkSxS!dk`;G{dfgp#(yks8?L@VLr+eGyZp(Cl(}Mu(gF{>xla z1Tz_gU%dYSUJ8!P{#_s{19|((gb!ZCcv6n+h@f7!g)@NVtD%sq>_;q048;g=871+6 zF`_Q0Q52Gv)UkRAQrl=aF)}&DED(5YYtuhdyfbcI_ENqyNCFJrZLSXxWi?l@W*T>V zf6bzdRuB~EgFsea*MJe(G4g+UZaIWPG#62Kmx3glcmY^e*re*#fXy4lp^`S#wz6n5 zTVt9)8p&_3?9JM`g2H_b-rYR8)b2X)QM+LZhr0-3Pao)COkAN;k-^mB@Of=FaD+e< z%4v&tO3_t&>p&ai`5)iZz91-oaSh=kIoqGtg9z*V)CDr?5Xvg}KYizEh}H-)O#?yb zGTRFh#Fk{8m83Vz*y~+9>6a|sp;E#UyukQ zf&j*(%POiNH5{#osPio*meHF@uJON*0E*bJ;D}sk0@wod(PjzdN+AoTWbX_RwaT8! zOaw^6p#{iL74|>BjRg%TL>Y?jGI_MXF%Y9c?dXM+sJaWhL|39v0ciTRJ2q9gtPLfY zKve?6Z2rjDjzp%BpdidT?{B+7wg?qSOxldFOV&n-RafB7oqtBO`yX>G zB8ZhL(yHYOW?&1!4330b79h`1Y9SI+9Z$bWT7vTx(McpKOFI#CmVzO?Ni(7w16sWJ^)y50SZY$T99&{)+{ z&A63+qV-qmSy95HqEqMs6NRF4=WF+VNmODR7>HbDy=G;ggBBs|C8jRIf`wvd>;3RF zPHp@e0j44XuxCIu+HYdRPzD9Ym;?|AZ7Pk?RcyjSLt)_DB7)^ImUYUoa98zx+UU+8zs}UPAFXHxBorfB4X-5KOpb-lDecM1|MJ<%(I^#2& z7uJPJEiMqW1`~9I*s?KVT9~Ez4)EE44Va5WDJVF6zn@LNp0M3is%Hgr%U}@~y+GHjMG|^lV#cqJa zDTnMvrgt=XZw}3-=%)%5?QU1*lBSiySs(}j3~ZxN#U2FXhoH6fr|-dP7M8zyiiQ(R zObRweVxVShK`P2IFPeg}4$s~tP2G;q50tTb8<5r~skh&FfkZ|XmiJUjNbfuWL_=Ww z<3~#u5Y_0wbdtVss1am>tBG4p&ws)L-%lDN)Wc~2A)Qo6>F^9*ZhMyNR8T+H|Y4fKG8J5 zqT)+wz{3QSKs_)JH$L9djY`Sjy=)18GY~+L%V?IKX3)!Wyh5=qu^LGcdO5^)%)2u$ zThnY?+aI6QzBYN0oS~qJ>nBDdrXY~TYSCBgFi z&QK#0rJzv9t7Khdk|hRfY7HMW@7@)iglDD)@0>|Z5lOUK0DV*dNCC?Q5Dv)-S6>3f z_j!6#yE2mqHs&FY@aBN66Yt+fvl8k+QiUZIFck)1EY2EKN@$|oR7n>Cm9i?=cx29XOX)A0X@s7Cj!W~g??C*GO9J!)bP!>3!~>iNj#{7?97H~_ z{(KegfEWM_?Jx|aK@>z*Vlc_* zZ8eC#RUPFc)b92Ef4&nkiX*A zpvm>b$(MWmRNmiz@(q`LpUAWiyUI4qeE$H+h*I_bDj0ib@;X=4^8Wxk!DOeC`6>!hupZMY`Y?J!NP@owvF5@%q8kf(TaId_^DfV zzd5@%)q(82I@FgYgJbupL(*y8%{zQh|-O+(+_^8*y zu=M@+4l>)@&K5p8cdh)QsM?LW`Jyr{{oYd1hdX5vK~2g$&oAG;s#*P)-2*7$7~(88 zj-kZ4V-9>{v=(M7dQZm zVVAh3z}^!!(;#_&bU;;x@)IYbDSVi)mZ@i=2(k(|mzmFSYr!itY#8$*rXvFzN)RkF zK9-W%Zm!TQ2x!x#l1%JOiwzlXS zb$2`M&QhB}v}>=Yse92Jz+53*w>!IR+qUw+-V(s4%ROPjSYP@mRKqz5kZ%G7@q&n0 znRFHt!&ecI8!%hqm|_7~G81|=niV$GFiney@j6@hHDf+Zp$jP3#3Iqf#SwS*^MUFt zHaV@=ExU4d$O}Cn(1K|ME)QB2$HWzt7l9FJ$E2nFKgbOjc4yM2Q8D&qgccRu=tGkG ze`1dxL;E%fU%JaIthipkQV3a|6`oWN)c0ZoyoMi!w=&l~Eq z7o}XML!K^I6g{F#+A~>0F7lVWLR@?naSTHMv_RxrvPPXzTqiQ_u0E<(?jf~C)NOOT zQxr`A7ig>qcRr0+E5V3Z!=cIG@nj-W1|6f_18EY0yltVvyKVmfvj?$D>SROb`z~W> zAmAerxd)ab2x$<{d!(@@4O!bcI)*Mx{fn6XAswmi55r)aS>L%rIU4sJ|zV5?PVv+vubJ z09q2GL7x;ifR`?`+~w8i;hzKx0mOcdE(l1h z=268*F)9fM#d3bX>bQHE$BumSntYQh}m1xwR1jZRgwk4)-6 zs8DP&ODza8hzzeXz%Mkh1vN7aH5HK6Ohq`stlnPvq1*9gUPgAInC_|X^uNi zFd)<~0Vx!wj%5t!z0nNBWY-85kWb5jt^2ReBKB?j-exe+3h1>Ig0rRQj!6=;`}xDx zSnN3T#Jok@eIg{9#EWoo%hUw8Hf7N*b14N3D>zOg)?+y4;iE860aF103N>+2fixgu zDnxN;4mczd0m2lv43A(P#7NQ#oWOd z!wO1>l9vjpYE(ATnt~&_Gj_JNf?;n?NMAzd)+Y*fcwBCTGIa1y&$F3S&6gWPp^T$os+X6p;08?~HQd*IR3=yP(CFZATUF(FX+_@ZxGCN<2Q&cvhZlS%B|s34U(jk@X$qw5n7F# zVCsz)n~pFofkIG#aT1jmh9yK#6kM!*A{-_g#OC1gvaOv^7yaoXXDMi09YU{Mj(clGUbxH%N2LaL@p{2>?1D=ZuvXvT` z@B8**p$bK97GsQ&5a`g^XwiIKydo`w(mR4H3I$i7zXm49jLM7AqujnS)klzFp4s)&}(XzVNQ*Rw8KoZqKRVqxVIj~&xz7#-3AYH_Vss%g;umu-I25{$hMn7+#L#5Ks2~cDa&9FA2Wk;`1Tr8K z2!#{C;~G@Q{vwR;IOXGYO7G4V>-Pzllkj*L@QU;!?fu5I5MWFs6r{Q{1h+VBa+S6V z&hX5wxbm@3a!f!fMve8t@f8IdBJxIQG4zNY*_o8+3ki(hgcoQnqIgucH^Zb@uESbYOl`aq=QGxbqBB2v?M6j8|AkWqewb7Fkv}yqzib1f`5{tLD z7^b`+2;;ruN%4(;M}a&UpeoJdO@>k8qo#6;oTI*Fux??*O45%hMuIuv5G$~cm9Uft z_DKH#Cf6PE7OLr7qh$ggGaZfiZN@t^^6kg0QByldkV5V(43G&MVPxc!HQ}46PzwY` zH(1n#7`cX+wnH$8Q?JqBe1ul$RK@^?CTbW)@u8Vy)`l5K^aOx~bheuHK}^D>y34iu zncJkIZ^{GBlB@1pZ(#W8Go*g=isHcVHiFOP0jAu!ju=?f_kIqb z)gug)T`r~8qLZmAlEuMnpvH`jj8v13usQ82Z32i;m}WF@EsBjQg$GDfG?zD11aTMK zwaTk+hJ*e-$-XA!T07s-{{R3&Uhth33KH;E&EmW(2MAQoBG`+AJ)qVlh=~Am5~Z#P zD3*HW@M0(-Ql?g@CDtT3K!Fur9{?-<4K6w?r=1W*k$1lZVDF=}Hi_5f@L)z0Ar}i6 zlqBsLcPS-RoW2UmpMmsq?9{!r~*H<~3W-_tu`G(94@b!6|`kHi@OwZP8{>vE0A{cfcTa z@{JfEUuMw)!*VF6gzAC37gEwYx9%E(u;AnW02X9qO{jLHxO#o~DzDAhwWj0(821@Y zSt1Tep6#Roc9nZd6NKTJ2u$ru1$TMOOWn$v9#9*1Kpu6KRX6?Ojez=IQyJPhPOsmyA|@irSW=?49`j#|PJHO{3X$X8_D0Ka|XY}zS zL!FL3hk5propV|F#w4?a?ym<5Tw6OxNru97c1DOEz2s|>25oCzW47I)s)(=)RhnVu zo32r_Wd@ZV8vg7>PuJi1JdrVGvZy!td{;`6FT}> zK|qUX`FW3j^?PrJ#A@TmM$fVrS==6>b0q6Cj z1++j6U)AXqePsCUf+ghd2b6R9mqu#0 z2nRcw9~Y994c8`&8dg-7@CJM=FE%W?{Nqmw+MvXW{i7mV;Ods9!)lb%`(AZ@BUSJp zh=w1_#RnV@_vL%MpyXk~XuHZfwSiHqit;Gg9$OFW>P+6%b)Vlb1^TP5-+0~gVdW#l zGCLdU4g6uo^-k%i38Pr>~Up6u;tDV{U^&$ES2`<$=>|Go-6efyO@EG6ta_O z*W05fv*NH*n`16M-S+xRlrDQ;B+I``*5Kk=`+3D>$8IQ@yMSpLHH>ky3jkIrh zzCsp0J2|$XZ(2ypKPxk1&iFWo^99-S56d-at)h@pVkeg-Ysit9s4bc z@YK=F?-2@P(yNw+8P-nnUfTSc-MmExFns7uGclV*swpF}X0|I+?ptTC z?bz>kA%)cNy;H+hvZ4fAXGD(^Bi^hF&*zUYpcDaPs4G&+f#eTtrU#vvcDo~2cQUMMjl*^0R>m<_HW}A|n{BH~kBQhV{GIzL9N^GPrkE<^mKV{L0_w{Q& zZhg|@b=K0b(#Gg(MWou1@GZvMU2U@lk$^jS#W#&@yKe5viNjxcT3#3(K~0yc-P@G8 z+@R}$;{Kh6+a=qiwtMbB|0%^VbJ0MB*6Y0Jomtw_)koTM#^du}(Oq5q`=9TFx8g#T z{7%xH20yQ&nq2gO6s5+B=Mn{-oZeH9(H&QHEgCs3m3y1`!=10zM(4XdD#^H1=3T?m z(9g3p=;?f7X7425S=ae)?+I$k7l%a)WbV9Tk1P3ENlRtxY_;IHji>vWFdesN>6V#s zXMSWAwAJNBe|{uup8JtGt!8z^%V1ONwCK9k4Vz4nt@l}>Xx(}L*0*;y{wD8eWmYHN zW=-!2&ENHyos_j|BwDB2=EfT8>d{o6PSz_K>P0Ql-SkNg$xt=p!wrccS@X#es~ZLr z&(sDU{up8@Sgf#V30r?5hy5hj=aEvNX3KW@ZFy{emZ6Ct@qW#M5Ao2?shifca7T)5 zv2T^zvwzqzz%YJWWx4B8e$9Oazpep{wct)$_sSQf{MM(nSkm$%YO;H}b}SWjw-2Xe z@0Kwv{X2Yrs3*;hp??Umkd^P2d`;ueRA;K)Gs+u7=IlX!W!v7JSxzrV^}Mv5i}jX1 zUzB>;NI86++BDBCXp@)y(zup-&!v_bi}pP9IzBlTeNx%|Ny5&uMx{mnq;f30h=si5 z?e9;PDRh{SUicf}6GGQdZ!6x3?4#aw^+wzvF*A944r#8@R8msp=&4x6b<`9GAGz#e zWU1eDTO|8tWBnCPrbsNM_?lz=wBun*Jsq=Mf&9G9in!oVwJb|f zc`fx>wr^fobNmakW#8!c{cEV+RtulYcI{DW-02^Ix1177mD@p!dbu(>pxom7Q^Sf$ zPkLJG(WUFRs=%nf+tA0=uaPqz&3;we4CmbTRJdvoVcq4zMywd)Rf+Y-Gy6%4FOt1C zb*>$37h9gbY!Uxs!v884WLv)^Dwv85q)?(gJyNY0$2wXgl2k4xSryx+eATJyd>m=u zH{MrUi$;`G40)QD$2lvcEpK{~`Yi6i^^*BdfjPPBj>Kf&w>mg}?N6ARl2{ow{lw|g zu7b|b(ziul*WKsHw6pE)4Th0{eFks&%ToI5GuK%^y=%hza%5-Th_TzQBX#~m8S7GI zWU3^$HAKhEa$|T!@!NIf%?eEV74E7nn5uFMTC}->Es}A0zYs%AJv&W=T;W?MO6rX@ z_wY_@=?s+|`MXqh2-P|)uJuq<&?V%qkw@9NE^ht}I|f#6;R!Ef;$3Qw9JKlKi5r>`+ve)sH}D{5nLe8IO2~d&w`ALE_le zDPx7Jb%*Y1pcTb0LwXnVJe6AaHDK;?dvYqPf5S zT!^WC!o1rY&W78)iCkZF{n0H2;yg>Ab?>aMF6U>f?hy&yva=3RP}a=D7`Jtrh_C(Pyurus}o=QogpYrH*fE>x6t_J1v@ zeinbRzIu6)Fq~%UFcT@2eLdGZ7WZ`8Z+pimYpAtPJ!5O-i^B{xL*~1CCR*>jSB$Tn zu+V|Yhz*72Rv+$X-b)&5^lkd>lhG-cnfUmuR(emU`vY;FQed(l)9*GitP@gsbJ4Md zEYE+W$Npp~*P%_&Ml!%%lX1j!V$XB|m9=ef>eQX7Ne?;_=t?Dtlwqt!vAEw(?xo?v_~a z{rF)S_KvfQTY9MFNAoDfCswAeq;EYMALr1-exL8=)IFepXvZXq9&^@l&gAhnDHKm- zq`bG<;V`)DbS(N7XM*g}wSOY%LUhHvuQpDhp79c(rk_m`QFNU{n4DLT z?lhyHVY5-w0%CT{xzvsvtE}%sWS&uwW1p_+WnNy0sJr<#d{&y09<11hl5x3(dkIpc zxfQ{w3$%+-2>v-{gdqt(8yWB=VFgYm+n3RB5$J@>2td;L?p~mod?T?yqBFV+#*<~q zchxSN zxrY7Ek&U05)MPrix+nU_ZoDi#yl(!CC9TqOWo+&W&&#E)rmb97ideUUxYp^DiOT3K z`SO*-lxqz*DVKo*sWDis7~nOW0gwtA$8ZhBp2`uFMX_|u0)6YZ{f_Vb$osYS`(&XU zlXL4nP+9kH744QEFjx~;>)=~vG7muV?*v3a=eAh-dsZg~^PCcQAlK)g8)o))^kv|C+ynjY z8nIo9h3Y&PFSd^KelbjL@$!Ck{QO=`01qx;%vn_g*?qH4+Q?QrCR7OQZMu#imYs`` zCB)UvT;6m__i_ITm%oV-&bNiIKle4j{$;RIwTgj(l$7%>>3864@#!5O7|g!Ls^+kF zxhp+N8lpGoTLT2de6AkL%9uHf0G+*Ioh`3N!ou+|CE;H zUA{eaq{{7m9kn z*+q+Vp9DHDDpmAJ%`-3kqPZ@}^n-aBlNe-U3Mn@B19`b-}r~MXHJ? zfX|QxV2EE!!%@Wd9Z4S436&>u9#Dl|9DF*mD<~wjxR^AwPt}P1I)ty(Bju=n(Rrdw zalv5R!Wj_v@HA2apnQlBfpju?1%s&&v^x|?w_U0^fNUU_0fEvmVS!vpJJHjpl2D8R z>CdvW0I?oN9(m^auK`l$PZk8nUjwOE0-(Bm;^)M=x*-YK)M_(sh$oa-#_7to-Ld*IDh&;#3~Gl8;}V@n}{j3+||zKIF?tS+2&k<`}dJmGe+8RZ`d3p%wX z({=MKEi|xn+v9aekqX9}b&=K5d;EzU5l3Iqe7C!QtG)YW3N<}Pal&zZLi3)Y6AI1F zT}bTxF1Viiylx%ERwL*?7?N|!Y+q@RCFKO9$J>bj>?!8Og@En1iy6QiZf0tTcV{a} zQ;3G|-+QOFhKI}jFmdlX|DH*9$_Jv6l+tqn!;yHMI|)GF#wGrK>}LE* z>x-S`D*Fmn`M9P&HnaTB%(B{eD4pT7d6jX)iCCHAD7wSGsAg0<+`UX)BobafjKj`c zO=i5N{PGdSQs0~`1Sp0p!~wjw)hMN+Di<90$hB?jVk&4U1=K zlsTq*s9l?;d`e+v9DhBkCFPGc=tQPQPgowgwD0$cFYbooW?~Sn%?LQrcVcos04%H} zY#kGPRNluGC+}R0foYh{#4Qy;m{rcS@>lPLr-C=G%mCyF@2N5NwBrdA1^f0(3|z+2 zx}L9tvgpp06dfD8>+Kp;@w>@}|G_zZM{|_DAs~VU+P5iy0*UOI2UL7}vKUT!Bz#!y zG3+epNiE!~|MF}gDMP30;eUX^tG&t%05lyZ!e)v)MXN=)38y7R0+(Mp{mHQ)Z2|&^ zmt2ms;sIy|1vw#ng#T*jwChw{S)=~dUEDzuu*2Ib7_^3F|0s{9j5D0V-+2WsA*?cndB`tcfD9|?(bU$j6zBNnTrE}XDyycIW0$@vj>oHF) ztvskfLJGKHS*+8N;#ZcGp2bL&5b;nu`f+fO_I%bVl?wR)r31qhljE+@@YQ!UFuLz_ zAV{?S-#n7_#6*px?}cQB`N4MUUGsjBZPq7r1W%E18Yr}w5XoZS8VUyk1a*}sk+0@E zp_Z!Ex5+2xZ(lzde`(a6JxM~1cMA%LuZQx=56H6lOsd3i9==*}HfW5gOY~{}GITyp zc;>IFdKTG2d1@*P1Nn^X1j@0W^)i6`FzCQph;aC*HLE)>lq_z#XMoYr;*J_nE1QFB z`TAzrhIb48 z)ITd-&~PSwwAxymHN-Lflv;MC35jz*}zQ8H0ezF$xY+d#SM%xWlNfI51RS)Or)4LxF_e?#SV4 zO*&^zEEFIK&qlLw+^&qaW3BDKGgn@wxS8m2zpqi(H~|oiTq9F}8vD`|{d1zlKQP9m zg;(Jed&xo4=ZS*rtmelngj@Z2&_>ws^V!oHfV=J8DDLmbg>|7k3_$qk0$%(=876*UY{9wYk|gj8N?G1mgRJNJxqSpUah zU0F%LW~F)-kGe=lU|Jbl7up^?c%)r?X z1@kNAe7bmWFzYlw>yG1^dcb$zlS={8CYu7+^OoaLPV6(E-Zi5E2KAu{%`aXNu41)& zWz}SYr2i z(-zTNHD`3V(|;P>sPwlT+R~`ZQAR+9hKn?edGVOoihWlHNuPf%A1S(Z z{_)RcuSx4QHq!E1m1MFpu^%kQ@JpdN_rLGI=HeW&NRIm;>qviEkQAOk3eZ<$0Vz|Ot073`#Q8JSPT#LrZVDg_0rczcQ-tZ+ z$s}CTgu87>XHX_aL;>SFO7Sug!5&K05&7cXrfKEaT`&vzO_nWUG10RT)6}!UcCYd< z99oB-tUhMD@38({fX^_I2$c0>W2|B_OD0^I$}TO_#F6aixr3W_dkqjOt;MY&g{xi9 zqF(7Q9gDatkT4P1_}n7W4nE5`=L&i@XUMHsM?5o8i+ zzMQ3JA=Z_wA0kKd`@=MPmxYO6T@6X60{|k5H05(@1K>ErX6);n3#IkeB1a#!^^(lR zyIl8bxHX@9tY48#*L z8xDItA7TLQ_w=%mSmRAB<-@;Hft03}f&*?!_>X*YuSx=u7uFW?K~f@X+x7xX$6CpS z!ss88h4@vsep8Nw=H@9TjQD&qAmM6fG;sruyc*^WH^KJfJLFp*>IJ;Lb^O*$uLQ^& zVrRLb-<7;nN)(HV@dl0&Jr40&YjgjV_t3U)BB9ko69GoLd8s|JZ_8vjDkUxy&=a%9OjkE!-w4Lkb9TypNw|M~^GE863+~?SGO$AABzYw;00FU2@-pj* zIc~6F>&ODeeeUUS((t6(P)JRyg3%vml#%m3sgFAZ`3h=>G>-L47b*G2Tv!=CjCEawgiWx}WsIqLUa=c->J z&Fx|dxl-!X)fU`-6LVYU2;0XSPXD@&Q_T(D@!&d{uFS&y6>0tF+&*$GZ(+I1U};&_ zxDvH?aaVU>Vbu8~lGO$=3T$*YrhneLevk8eyV@-cni}=(o<^`j;_!n|5R7w!LY0!XFSVbrIopCZ!=1R_wsqYZK)$v^I1WG<7Qzx9QKW;vMRH2b&C+SbF) zg|6(okJrxksCRnpM=?~T?O;14+u$FCe>h45%qT80a6JA@c^@p^OcV~l=;soL^;PHz z1`^}Q(EvoOD&BVfUY&~MFOz5HaVt&L7?x^Q8;YUYBoCq(CrHkSn` zZFl#~ZYJgBoh^GRo1Zo7P)Xhey-4EVHLh-l43`97$x;4SG%;|=I(XsIR4YoPA@ zmy|G4`HO^9yHPGCaisR^j7abvcSvt$q@R)m<2%KwE0iA++7gf(7R9c_8T$DeZ~#fvI}9h!$N;+Bx5ug<^G3abZr zH*fVy|Dz4XaZ?2twOz7hrKtWUr#cZ8gyeU@iMJT{a%&E)fsDexfm6-;`rQg+YOHsn zE+93B0SX2O&yj#~TP%SEY8rVs*irRj$m7REpeO7l;x%kAX^#Pi+z+Vu7HZ3N9HlKM zpEGHWRS<7h?CiowO^aM8BC*;PA}**}&nOXNaM%W16donGaXmqNB=|PKNQE3SuFZ6e zR8JF8FvC%X_;R;sz6wj?J>9wj1)PZAk&A`!Utnq7vnN{+mkr z1{#+sm1`fMu;-?Gln@}?;9#ioZ9`s^efv7_ndVRc1yj)!Q#2hJ_gYIlIw}m&+S4XP!hbXNkH$=-i*Vkx6+`zb_0^b>$!0;^miuF$RIrJOr=;%1X;0oY;pMj z{=beV`^OA|5c-pzvYK6m77@||d92I_VH$G!3W}a(m;}YsgJ zrISSiQWjH#Fsp^{o$v^GI3M>VB8EW%+JhuU&?=r4xuuF&5`A#tCw3D#lwk`8G<8Y_ z@ZuH+9e0Gs6^k+3g;ywacb!yq@6$AK1ZtHziPlzMqqm(XcF(CjYnHgVb2yUGMFLfC zUF5A16+S+bLNX;h!P1J4LBucYrYK9}T0F57xXzqJy;O3S2t$Uf1mJ{mDuDf5)(ym+ zT*#~K*(mYAnT;%rjFYC{095X47XSkU*ZBYY1pPc5g<0zSBZSSz4x_)Sw{+bGo_um#OOghK6(<)zbb##2i4WFufb3CkpV{wbqAND5I zvx(K?^`rP}jjQ-?Unx&!pC>3QdAbt0-o0Nen1f}m?TdSM^N$Lh);&a z(9FLIs+7H8(t%GEkTX+WNjj1p?;jf6>9>v4FNS94N4G=X)5UqhjmLLNRJUwE-se=Z*#on5Jjqt*I2HK;<4K zMK-m5QCfmDf$0qN;rBB&;I?(7&Q(|^MYe2@>Y)qM&Bs?Xtz zz*5+m(~EGc($-e}+oY58=zKAx6KA~Pq-FAU3Qpc!GDWjyfn3;Uc+ zPm{WYh*OL9h`qo!u}nDpkcf>tOy?{%{&w#Bu3XsZ+~RdnefG+BcH07EmkL^37UbGu z;HXNAY-W8TyC~7@SQ%c9620++Mg04kFwDm>6t!oH7!rpAsTI;=?G>{1qjET3#u_=E zt^o@ejs)gmsmb^gSnrw0!{$>kLlho9W}_|wsSxJrY4Mq=aW=_pUtB4_370zqeggd72x`D`vD56BO7 z#}=$uR@TS4|1wKMvP4f3Bkh$Wxu3b?q2I=5Idir%V40&TfQb{C=NQ)?`hiC zAka`a?UiY)vYV7f^dIF0LfqUfb1Srh8E3 znfs>nXMbmwZLd4xJ1_fHFO;gJDzij1B`bb7y;GiAJ}!ZB$UlHRfX0e}_(?lJDl>a< zpwqJfmpoq*dYN}g?@-Lb`zJ=KQMPKf9&mh+36!$#ENpZpc_#!9$B#g0Bnz}~i(~)ni&_}r#@$m#i zfZKR7i2(dr&-`?de-8DwJn_-3vcfRjiC_l0KuNQ*O8;q2Zo`GEbsXY6m_0i2eJ=qK zgMbUoNVgg<5Sk#)>+m}j0%*`7F^+vJ88ItA)RMRj4;Va)KPf*myDCMhR6w- z5T-14quQT&GAHA#&AlDrNlVk-CZfyAT#yq7+;P;Cfcr+gzxlI=#R}(Nw5$QRcX^Yg~bV^nNYZ zt8veteTuSLHLpIba*;`(`jOf=jTJ%lE*-|wkJY}^9Cmciq?Z`G+m~P@hvtMslsM({Cb~rt z3h_?zPR;V;nL3yYE>-VR4o<1_%~bP~@=og!!wX~7i`mW-QFGxxLJO5#3)U{0H&&BS zdx9ZO3#um0F7)WP#c&$8>d1bK&-rbnvh_QY5a|G0xh28CqlN+cDyJQLFLOY8sKMWA z_UfF5N8`p#;?mSm2su)HTPM!Sx&4ex0bCws7pfWWPu<;zg2c**T>$D0Brl+6Sn~m% zX>JNNsl(GZMn_@7Q8RJCBntF=(F%jyBi8#y z%X=(5q-Cm28!v=Z8OV|J7*H6? z#$=7~4~-~b>LQCd;(}XT$mI|Prb{yh^vNGgAbr*2X8<_sF<7vWZhNqc0=73Vs16~E zmBsNw0SfSi$PzTE#*EA`c@^k6k zD=tdTmsYfhJnM3J?uM)XG6zEU)$sANx&juGL^i$L$g%jDy1MyIY;>Yf$Mx-994FP? z_F{n_LevYO=i%Xn133J279zwTJ0%hWIW!l}fM_Kx0B!LbAPVxuk=Nr)3jDe^NBE2Q z)j$z^{vo9Y3cBg%dBLWn{6kS;EOt$i&VWR5$e!n2sRb_vkA9Wqx8#=4ZJojsWLl1w zHi>;i+vOJg2cuaco3l$KgDa*qApK9@9=9L^XDeF@tMuBTPV)7OE*OSH6ihbRC3n5< z*=jJZm~htXaI+BT5v9^lxgu-Le1#eWWJLz@{vK%(sg{;p(|5hBa%Ts3@g2?e%Qr{# zg!dlw*A}p+FG*0oJKb!OGViPasp>MEv3a-Gcl$*#*zlSUl}pC#=^hfQ@8jkrCr8iB zsG@!2Bl?%QLRpf?U~ugU`-BF~M0X9PfQ4J1%cx5LOY(_br3hs12mnYe7cpRzh@I6W zXu2*h9zfIG6d@d~X#s^Xsc@V`f0}~?JnUIcN>5@E<|1b*7~m9wQbj>tAy!~w>$HTw zG_QhW@aL!bb#<20wwpeFFG~_LNFHeG)!c&)XMTIL1M&{#Y))&ZabB&Lr=Cu%5VxxS zDaA0c0mSo?r6-2`2r+hQ9Z^7(Vj@vy=8Nu|3NmUENnWT)(kvr~Zz`Kf0-ac$-L?r)y+i%41@voO3eCaAzc=8$Gze z|oLXQ6l^^?6pY0~k~#obh+5iZ%E1A2*u_;-4Y?++tYF4U40t)Rd)9v-c z(R*i#UDY)63=}-rfpLe9CaM=-{`frO;`kMnM?;_|< zF-zPTH`Y{TN)xJ`&sRqOxsRY2CcaBTiXcJV4MZZxw0s$c?VX#^Wp(|>)KpQ5-;@vW z7D96lK3?LezDCdUSlAA}iCU1%#>imt`wpU3wMQ&P)8QdfhjVYm^issgHT+H(3QL2f zvsn83_^x5OGfJF+@oIMbg!H3IFHS^|V%25G0wG^&A*XxQIkoNvZqA$kV9}35R~bJN z8TYO%alDH2-F*jvrcbbKK>61U$WJ>UFr|bVz$MOvg#k%0T|v#jah59exGq46@GgL* zX&D^8A(VDt8Ps!e5I)PuAchCS(=Zm!K(T2Q7Sw9URFG&72b<0Ml|fEkbsndHUAqV% zeZ<;@Kq9w~Klt#fy<*kuJKazO7@B{~ML2471Rqu~YBMh-0Y#QkcG(x1ESF@NP4M(`t+BI{(Rgd3;rip)C=6P3y z{I`@cqVW#Y$a(-zlD&3VqoRo7w|N|SPHws*96J4y);U%ISF8+}phNS5W#Sr0Kcgv2 z>uCysqmnc*ddeZRqYn{g|0*UzL7c@euAcZ#oe=Geqxwp#&XXBaIaIf4p~}6)3i|t= z+`ps`>7%Vz|9pkejeTiD$BSNA(H;f~^mbLoI73CLhDY|)xs7B{w3p9jELh)|@~;+| zVZ-*5uLa*dQ`w9S$LLS_piFgoQ!+MN%T*PB~EcY7H0 z>)o?(**^1lCTpqjZsgk8Ra?wE)zWpxKsAY&Fx?fCjj=p293Ls+#DpS_ap~b)g|hw& z2eAVkdR4R1fjS~Pzh$AQ; z63}i!trS;Y{@h)U>ej`sw^{al33GiY^|rhg&bIid7}F!NS!EuYQGqGek5{oshmwy8 zZs=>Gld)a3rcG6nq_wLOGX;tN?ouZ?Ui{YkDs??y`akZ{U8LP86t83X zkc*CRyNGv??oK>QqG)20-Dr&XVWbVe^ zN+H&H-0^zt)Jg-!xdkr}ry~GL4XecwS+Wp_YErPeYO-wU?U@-&ar!(9YEO^c%g-2% zttjf;L(2gV7z}#)B0Yg3tWYo;JX@}Eah&Dnn5C(=^Wj)8OO+6Sa0MjU`xc%|HQ$8U z(6P5xD2KIPEORW&U{vzv&LsjXR?uCaYceZRU0dlbjv)KbZ znBp3f8v5XP5nOa?|MefdtWsZd8!;c+Huba{5WiD!(g*RSW<~AR%)M=ss zdwq;RYz1;y&Moh(ZJRKI`81?r^9OAu#9)n3DbS9fp^px8|7Kj0YWeEiLuw25ghcru zSsNb}`oyEJ(~BC;QkHZg18!!GQZx4LU{H_%9&7BCaBy3ML$LW6*p$Zri@JYlvO%c! zk&1}OuE|qk0Vx`bUv7zujD-s7a!RA72&NbN${T-+@g)BT-r3k*4fmBCxH?pIOTwIW znz?o@H-1T@ol#Jyq!Q0#y?l`Ur4H7^78Y&JgW+x45RlQFy5@fSXPv0P`i7_&h0Ej^ z;|B@v>)CUm6LL;UE2Xr%}LTBC>zsT>ch z`JQ3iix|iRUMblk-}n`;NR~;)tu*mfmC`@5*|E4}R zxfm&v>5=CTq_LeM%KB`+`>&Mq(@EZMt{k4HU+JunHO~4Xc#V=1T>-Ag=^BCf9Rs2? zn%I&I$Uc>udLUXqkW7o=ri`NWgR`jEp+g=bs5!Kmk5jH;{s#{YkoG1Q;)Oc1>?s0yBuap_-EvlC7twB^IK32dCOAM<;(Bn$$JN` zqA6l4Wfr|y&_N`TStYT0<@{IGnQbax{|#L~@!+uQc0UhUOn@x)5s_CfeTjhdjvH%< zsqVXH@OWVV426Y%SAu=t(x^!A=FT^}kpHcAbZo`{a)N;AD$tN3&7l@+rg|$PlMqeX zKJiO=P3IP4D#{y&5>hiqXC(S~zcf{)!*JUm3}|-w{emRsJLc@@=wYjq^45 zZdNeH$jd|WdL@3)WCK9Oy*rdn-+DF$i^&2%o6z7Z(;YTJlIoA*3C_$aXX@>QhTK zckyl%MG|k~)R!CmKv^PzF}XuRO-~EIcIVmCz@v61mYs_0?>`-NUMo9@R9xN`+ydw#~|f9+8Il{3AIKjNfh2CQzjU`b1|J>H$Gq;Y3Nr$>3~dRoDtbR{8|oF@_Zb&}+8#rE$ghp|Y4lQTi&>B&8CWcBhNo zPwzuco^-nIwZOi!ga8Q5?uGoG6WHq~0V` z^aH00*We2<>a1@Wj#Lr)9uF4ahQ*EtF9%@8Aijtxu^TDjoY+=c(r1L%1+2h8sWW!2$q67?If&h^I5Fs0TR@uKB1E{9 z!z*Z3v#N|mUf-Vh%-WAt$|--cyQ1+&N`~qHe<&g+FtDq@8Cf+f8oBa}H91t?90nA& z1b|pi?i-*D>IxABu*VN>|Bculq!=E&VbHW|&yF%6-$&(P@n3=^H9K8;;x2eDM-ek; zcWPaGg817cjF(+l1~(tDwc$cwkdp{#8bsww)ha-wi^jpf!yQNbzHLG=u)UOwvZNAM z;No|O$ps}1it-_s^LF|DmA9(@vuf7@cZ&YJfKPK-XNudc#7RSf{c9MS-`|OEyT54A zRMb#h14^NTVrA9}j_z{6<7<_vVW%lonC2^uJ|OSH9KFIv0^g?jwrk-A`d?*rg@aAI zSPOchl;Gh6137o(H0001i zqQE__Gk~;1BEUKjUIBLtzQn@-1HKxj7zo-zVE_RdLia}yEJtKIL20pz7%6i=;Q(_d zf)J-If{*~fW?UFSoS=XJ0!TnWfM9CPAb=Frn41UPRWASn8N9@`yzn1v5E_FYUvP!- zFo6bO@L>;a98iOQM1a(*M}UAgY~}zZ@&;lJI_WS60K@xhnWYsHK(3-PNCadAcBkLu z$>>Tca1H=(Svi7fq$vQ>C@BKA*nh%35wT!pyaNK@78o#ic)$z!Y#;#H6%8N(sr)1W zFICf!2Ag(&(8MoOA^;0Xzz#qLCNK~HQpg}cLe&ol02D|V0YT6;_z~T~z9ABo453+w zEd-=%1I+@gEt25fu`0W;X} zM1XS^lDvK1d*L0|z+(J6K>9 zFe1P`zntK-m?a%R5YV)yh9G4Mi!BifNvsuyco+auhTv`bqF^j>76UwCBReV?0+kv1`R67`OLI5i{k^p4@0~)r%Du;Xs06d5~NAng`2n%r+x!NK4*3;>V;_XPn#DD9Qd4ghM22%5|kCIvg?pb!E83qs0BjlAQ=KtTyn04h)< zKoiXUmR4aj5#{(H7PiEo0tHESAOLXT!jYmt6dPO#06hZm0LVdL56cxM7=%591|S2h zZ^CCFPRA}Fz|cTeOBm4rz=Y`lB?UqNsId_M8f{^K09g5w;4We$0@(>#fL72w_M;J& zhv%t!)uXPlSf()F(g6;$QAE>))OQt8bOe`l6nzjvi?}-$jW1e;Q1Mo?Sna<=p(Lu2 z`G1(olo%1MBk;ucO5Iji-^2uSytoA_e5`yH*Cq6H`heOPTZ>j%OS$0R>zb?055!3f zlY|&xF1HjNff07enYT<3AV#LP?D)bD1C~@G7}cd>K=R686$ft1fUxvh{7j8yN3`h% zmKGWP~ZLbvFF8F(r~BBDSU>qv$V6|md^ z1X+H_Ho&x_n?VDCizw(z9!b^V5srr?3 zuYVD^(s}SkrLG{3Rahed*Z%;zj|O)r@O~v82}Y=|6)5n3@}t4{f@ZBRr_`p+mqcXxLS1QJ{V1b4UK?(XivU4pwbf9JjToBOSQ z*36oj-K)BuuBu&ic2)JMI=i0py7;;QU`UHgi33njP=F-l1H5iBxQTgKngM{66fJ-V z0Fdw&3I>3JpuYhh3htlS4uT2(jol!a`rl=sA($Bo8bF1_evrTd!Pt;E0ur#G|5J}; zDC|G=WI@umAOX_!KfnJgmXMNFAYox(W@2FGg4Dvq!oq{IB%GI+>u`2U7+{*C{ZgM$87J#vup(Er9DdPoLD5dZnS{`bCyDEz(f*A+k% zK!k@!fQLgwKtOo&1`!Db8x;i^83pex7CJT&K8TnIpOBD*f`N*J>?1iLAvGt>Mx6^MjK7oP-va4gSU7kD#5YLDkOFlWe|riB8Wsi)4i?h;P`-b=9~KktEh)1w zJeHy%0+}N=OJHm^BDqLa7mm`@IR&ec)0a0$@809$;eVi{qNbr`W9Q)H;^q+xM`z_#X+0Nk5ZQa&q(X3kr*h zOR8&X>*^aCo0_|Odi(kZ28V{HXJ+T-7Z#V6H@CKTcK7xV4v#J_udZ)y@9rNS|JwD} z&i|5s8TS9P3lm}&G%PF(EW%&AprGCV&MQn$+G`p*njL=22fz2AcF^k2?zprEQm+A=*}v3 zb!|NT`n0FFh+E^T{D<2yh29bHte-IO0K7k4=>&+Jou z#M)J8sB?FFp%)aNE-c0Gn0a2n8D0yglR6r$5DCV)N@(#Yx%?0-F#gSpxsPX05KD7~ ze{_Lk51Sxe!8z)sXcsy2(<{(zoE4>ZCMf2Ap7#m__Xu~vpIp5HpI4sg_RF5b0 z1la*-TU4Owb|nFtd3o)gd$L#HE9m^kG1n^)-Tw--t3T6dLfr^o)Q50uSIfUR`=956 zu_AFn_xE;QOy3rU3ws~CUV)@}NKuJ?~fgir8OvjJ8CFV{Ay5Y(5UGw`n&!8*oh%FB&?a7x4dB*Glk7G)Z1xOe<3044ll z*>PTV;;p4)ew6#5K0>b2_6lSczXE5QuK<3mt4x|<1*M=mn+AFzXiGNdvK~G9HV+5c zM=pXB-{G0+6*#fpi!W|)bG~6mUatXNWT6*;w!#?XZ@s+D^ucu^uK!7B*VmameHy{E2~2Us;=94-HEwgq7bVa~J4xMDMd{?s9#dP& z&dc!RXldTz1SLri&$GvS`20j8C6WZwdPB|KJ01F0B@~c;vS5-r>|U*g)&5CrRxs z&6VNcKqEV9Sn4Z)GGzZ{nwHG~q&OVCt9G(*BJeR0dVi!zcbk9c_;D{@?EA;MmE$)K zg1b388z>I`Kc9j0jft;?~ag#Vmy!h`sC2_Vp@Max{_&oMzjPQ`{+lxu03C?m33H=@f8&( zsp35l%}x@Sux(}ttLvlQNfYR2*^H?Zlz<|M>wsXs8<^~(RI<|2^h@Ecx#4_cN8M*L zRy^D$erFZ3M&jDdK&`27r{%~aHq`-s<`G+B0^rS<94Q=BWh?8&-^iQ z#C-U`_w;tVH9w@VFnoFO4|C^j&)8N%lAjAT#&>atCJ5C*DGvLGV*M_UZpApOl}n}% zIt**xVWsZ5-%S(=Q&n8I*^`V->3^?~C6F7=IP$$PYg-l^I|}rt0D`Ta;2)jSzrN7X zxrQ(x=-g4wF0^?-K}KcfD$VZ-a__M%-Ip|OtDVb@^3G-%EF|zCRG(}IZ0;F)<7Y-U z{M+YPU|l#PWb?KIeCk1ZbbIuA)Vp&hZ$F`>YLi?Y>dTFCiuYs$tB*E!EtM=bIOzD( zl5yzyQi&?I%fPNg^+x!KH`$tP^-H}&rsFb{9D0}}Y?z&|{8lIY_9c=0sUOdb=&Ms| zmC9nwJlkw+ZmfxZYaZrJAqTp2L|6>3uaWQVqggqAWM<9zCtZM46sZz6_H zudx%l9Fym>aD|r4%HE8@_ut_vyb3PAy}(>!E*0X18#zssGHz=E>FC$bCBe>a^;o*n z>Zi16ti*E-?D=gO-(5)c)4u*5_2ir7m`SGJ_W2^YPuzCo{nc!`q9!BE@qXUjovpfR zyfU8)-(WAz3#l5xMESGDi%_9S3>;n-Vq}FEp^B|7S;Snv@qC^;d;qI76Jgj-6|YiYH< zBqGjB9eE2Kvu!)o+l&tJ$k%E=!nKc+zHn&}MKO)H_-WvoE-Eh}WVLUC`^a*!AW*o3 zB4zDJTPpZhlNzY#n!TdbzPR7Cq4z!AT?{dLV;QmKh4lGgwfKsL3tI~8P(gz|-vEh5 z{tX|^D}YocN}gc&1r61nF`;xhz4Oic3Z5V^P7(_)E8KK!t>3ATpy-ic!kZv5N0}Bv z)p8C3>y}uQnOdRfA796}5C3RO85S&aj$#h-o7jNUY0JowmOjMEuYUYGKyI|3a$LKY zVlii@7$?-!LtLk57vpz25AS*cbe8Iy&YG!MhlI`Z?P^?#@d7J-s?HxhrDC(lggmQ@p^V; z(z6s}tS?OLor(W=d#2TcbAPVs4C9?01*lxwlr=3yEk!+cTr*1clclpP<4Vbd4U3)2GV;H@6oS51Jce@TjM{?Ad2kWTU z29rZY!-XvAu`xW5#UAUqH1A^n+bggMni4Co*}B}pK;+AD7gPqb7w2lPSmH}3(Z*=^ z4Gjn(Xk7?m9XlN_@AmzUa7*lFG}Y@aEE)|lI@OGl+L@A)lw|_Nr|2MA>=lO0dk$)B znF)0N#K4!6>SxtO?FhEtAvlHBF4P%z@TaYNNzbxezqs;RU=aL58u&2tE6QzLYNJt; z6v5$$U(5wdbM|&iy*LEj-u?uf_li)SEzC626 z&vp-FShS}Oy&1v5RtihQFqdJXvdWiX4g^0@w%J99(UaaRvr=@>ZvQ%axUR^U@3izX zSQGT3cYFCnW`6ozR(g zFN`=FRlVixy95(;^qiN`zh@b6H5ecX3b3k(^>HazdCwKmkobHNGzo^!kHqrG7&-B( zF~F!Cq+M|F3)I#I6ORr!LT+?#@%oo7lJ0^%6*%}Mx9Z}%zuR$IaZYxD$DL&D*Vc6MKHSAX z?^|7@Y}nfsG^Mpxzccb2D*Q!+Ke5@y&9`RE$#)Y7<<3K z-g!KfkA5cBtJ5@FS+R0A)8cJb661XJR#pI!s?kOmB@T2eZY_t7YUR99O<@4pQdRp) z3z=YsiCC9}c3}xVj)VxF=39tuP)j~68@~e3Fpmn%YiM(DH#M4{ml8=YpDJGgt&;l& z;me)(Qw%tbF=zu-mOy;m&w%D)a^8D`s`M<2HdSF-lCLNpvo&=^C`)=g~$f) zM||N8bHFW^)f@Vg7srX<^HJp~Yy{_1Vr}%po64z<1mE`?@Do9`SO3ge^>HutXMfVi zSAh1BYV+>-dm`cf*(-2hEA=C4u5=Hb*niMkfjDV8`q{JeaIf-bs}FOfl`%Lo{}q@_ z$wy85;!?N|Rka>wBpSG9N z+M0N}x$A_?e8NTai@zA>yK<)7>}0Y@6M1pTbUi^-9p#45(2EtGTc*uj0crh}C(jQj z_+^5iF;0!(4_BCXrg%77B3`e6%U&%z=KkT74x{Q;3_<(*xv#s)zZ$t+bX)KA`kTi5 z<$2tSNszzo@W#NTd8<;}QPea?9bpY}_j^T4ADuCl)#>tZQ{hGNv2t&`0?qs{{*Tuo z&MAIB7s5>4F*-ZE)DHwQ3hL+!q8L{XIkH#fP=EIn&hVjM&F84|1czM}M@?}>;cPJB zIQyU8=6n^Nq~1VcfFXuqUibFoJN5snZ54g-Ts#DqgPu4}_?Q;|m~ynz<8Xkv^xN8z zzpJs{`)fm(Y@pl5X4D&QgnGK7s`m5FhV=K6RSoHs8C=gJ$^uFs&<5fc5TYz~-1u6C z8Vp3Wx;t-IqIbi-Eq;D@_l+vYE7B$P<^G)?#sUg}pi$kgc_ zb(7?qpI2nhc6UXxQw?g(RMg5JcaG20syMh9x(zq3Hk?I+d9nPhMq>#kXloOT9Sot| zdAAdLT~Q-@?wTj`?%U`quohpqt8y)CwKgv@L#nOZQ-sIVP(&P3q30v|1r*o4;Qyoq zIuPs+;Ia07$JL38#1C|q!&ZfS7@tHauB2+cD5!I9^eyTRy*GMrypOUb_7%U}*|SbI z5&Xl<2KDF6*%Tes)h_c)GWT)T4BYt&jJ#C7fb}mbQLiY#Pkt^f>ra0kCJ~xH#tgG! zUqJi?rd@@&X>OHBSkgS)rSr?Tg=WGbm!<ko#LrB~#irB9#iJ>R4#3n2*;2yV;7lR##|Xl)p-)z@@24TO&~0!avx(*v_uC z2=|7<06(M;x)V1#`9V-_mPxD?oTgNYIbdaGF-{Bb622AE`lWwevz~KuX-8a5nc#%P z6d7gLgBW~cwy!gZXQMRpxjBsb^BKz!b!>W`Y}S5MF#2$l>W(>C8U^402e>PGoXLMd z<@hv7o~lq4NGeloO7fgbgmA+?V#U*YHzPMfsP=2LD`RcROj4LRT4POVWf>PCwK!&JVBr~|1l zQ#_sU0VO_w^{D|iv-3*sjTm=Nhw0=#9@|E48E3tI0egnap$f&`o0cCvV$BkUx9&l`F5OD`*}{Z){ak8H%043#pU-u zk#f)_E+~w_6bvIV1_u5VW#4OCv~x2Vt<6J-grDhhF7RPC{}9{w&I{jT3%*zlMg-o4 z$P=2SxxKM7k+CgaMv)Lq3D|xc0dBJjZm2E=)oNC6-^c8z8)BHlVn{wSOY!Hu?Og&g z<)sP5M#n|yeR|iA-7A=@UDi5ciz@0o7`|J6JdrVm%`;`ahlaN{IuojMj13UlF{@&5 zp$|T>3!P60by0{3ty5yYtRhssj(4%@X)G~X%|?ZBZZuIYH~oMjJLly~!<@5r%A@p- z#DSLo+I7Rp5+y;KoQQgi^<)7LH$*3K8NO#|ocX4BySgI*=0n;^BZ7ly1o00jVoP@e z)t|MtYEIp}c&YXXcHelY%>ta%Sdb3%*QN8uk}gU28}naX>sGvTxvm=DEVn#?nckIFD0Yy~t6HmDhk zdBF=QtA;$nnvd4k2viT?gt0+CXT4mGM3=Kr@!!#D;zW=YkOJ%ZcqIDcUL3rX=_>%T zys69v)kw%pj=@Ad3~2NDI`W^K{uS=zVbmvj;a#FPV+Vv zEU^CZMaX#QP}eP;&1*ey3aeBbSMu5batm6hAX`e#QR2b5$sr|IqmhKMHYO7IQRyOV z|1-k*x&&%`eIQ@_5(9Djyp$qCCNN5{hdtR@K;?_$ANdT~CB-y!=|UO`^N#75VfByt z`xB#RVk!=*UweId=ZaNkF-LYJMN#@!#Yp4HLuE&%^S+pU@z@i3BaQ-f;CQUM2C4yZ zkeLLAa&3dO#A0=P3NxisQ4X>%h@KaQm+GY`ct1rFe=^y42UB&HS#G7fW;H3wR(+&U zN_%F}D`W@!!M(vlVm@4MLUxVPE{e0{YfSER?{|y1^oP<_YbiLGZx&lwq98l8yCvb| zome{O7!>f6A#Ow<4#Gxpzl|Kc%zUz9*EGB`VWzNB1>Yi`I*sy6AvCbdC^d2Xs?oNV zWla`FERTDC1{2#!8t%YvT_Vfb$@xxF?R0v1r+>3I=`9Wllul553j-7(N-6QpzWaHP zt=o{jE77ROU>rM%FZW)+TkB*yQ_I4H-Guh57L&~W6jaePm8q4wQLJwTk(7}#o_3OE z3U7Elb}qz15^iaK>s3p?BabYkcuctl!QZ!>D2eCzZpl?$4-u(`%4g&3_Lpmnp37A; zI3PYB)6N>JF|bJJA>+|v)y$*2?t;zTFUUXDRkhd8*Ur5$_u_=p;}BF5B<@IexcEI` z=$E8+SuLH%)0`oEQ~7!Bw-pF^pYe*l_Fz!nCPwhqSkI6w@vHt*2Thp+>yKim5swzE z=&T~64{%j~z{nRq#FX_aAz zcZPaWM7x0MbwO|Ng@cCA2QN8z45&<dIhraAHWN+=nB^B?eAZK!32aCSIF6nNIq%rHDzU{ zA5#IJA@fZ`1UTUuOFi(}RL7%KaIIGKvF=0D=PSe}V|m9u4$L?!BNm!CH+{65K$K$ zVNdF32H!}%x|$40&5!hXzf~UM8~dl$iPPN6uAp;S?8ebp7bjs%>f7pH0Zo_$@HrkR zU4)8gcCPV^vUYfYm->2KVpo~*+lNSzA74JBaeflaCt-cQ84y)7sBLY=3t1N7cO2NC zF0jXvZ@|=E5nHEuN1NZ@RHTzF(db(k_ddX{F;{4I@*RdosX(?9-`t@+h)Q6;PGPRl zx{|&ZnmWctc%8^Ie@fx^(PZf*nsa7$xjx1cqAg6(2Buhl?8sbA!{FB>l6};}KdM$9 z?G{A5(LWUs@*>90M-(Je>6I4@!#0U$2J2isRd@Lk^hCe{b;Y(NvabN*=&xL# zh=b~A11CYPaX!MwsGAUcG$cjem1oQYGy9B^qWT}o;C3^8a`MLV2_%mYd(>k`JxVR| z%MsAsj~mc_o3V8M2eQ=p2EOehI_n~PTe9W`M+%lA7sKE9Hq*4EW{^Et$`$BqJB4lB zK@yrIUfeoW;a$=r*mcUIL;GF5zG-BKHz%Q+Rvvlig`0#cR}Z;~;c zAhEfMNy+*(6?3qbv|IFi$c4y?PqXWfciT0#zt@w?o>KoPD;Sx@DG4rfffAsMRStPf zvrvny4#|T?)wbP_r*nAblT7=fGV%j9_jo&`yAqk-KQ1}>H`l2~ZFhF&J5)7!Z$*mV zk|R&lW4!_&x1rQ8abnD3TP_sB9LC;5ElyC(pz>O>-GuWO;UU83X$%#AGVrxr%t94J z9f0`YehQsh=U#|4frk^SB2eZzgUR1|ge>~k%7b~Vt1oPjno(zr@6l-Z`|J6Bs{G;@ zlE^NAk|V^hjC0Y$N~Crb57~r`Nranff)n14&eymI30osx*bP~6un63xUCGj{-X(RQZHzpT(i<}91YpE#P#(vS{_~E8uMy zoqI1#f{+DwWJ19$QqRYoCb%sTj|=TSwA|-b=rZ30^FM`2O&#- zMyorH%D49mm)2T3K}8LAevy*qDO!gOPTg5Tib6;G7B5z6RUueUPlH{j+c6O`f0PYshDhG-0G ziBvzJEhw511DJz?W*?EFKhM3T(m1VFuDQcmUO7})TPY>g#0-UXLKW{j^~~rujvvZ3 zbxX+%Dhy{?u8`0T&3;tpnJ48kZWu*eUj;pv98 z#=OfXw)>5YjVLYbL#;=u?-Wz6 zTor$^k&65*8s1i*D^5u7_9^|?^ze~UJ&HP^BxBp=an_RZ<42*1>GK*MX^2C-F51CF zp)Ek%iPv6Z3xO)<|MRo2e5-s^P#jM%-VSF%`VZlEvbS&c!_LZPQ;O>2MgBYXLMmloa0| zeHQz?le*O=g46un4hIhEHr>%ok_pc_9r)qAL%Yc{k$$7gKvtefwHz_>*+oY^nu{(+ z|9p@J1*oXf)=-b}qR)T2*56|nR5C5f=k+ldm$ITr=I1YwhZ62P973A6!u6jhpcZWnFkp|_^@#92nb&0Zi4r0M5?}&R}2N2U^^m&C3Mo&~uZT`i04a*NweeUfH`O-|1%ZnEJPX}; zk{&K9+}bvRCF`T$GGFHGoId8g6_FoxZ#4_srv%gEGaWVo>RDBmg~sQi%zpg1qRZ0} zLgzl&WOoS}%MBudMd+R}!_|jUbvGWp1gN+@jZFpKH1h-A1t`vlx@wN{6{^N#jVpQg zqR)DsCJ7Vn6mt|qd%CEv0H#OW`0eURSvkZdD@m!gaqf+@KOp3-!n)Fm0uz#E1!@ToEog&zRP2fX|)Xk%$UPBRGDcszij9 zzng5saV`o@Zhl(4_igg6gMR{ZT&tKIVjo!)?`+j)kq37IO$i_bPb8A3g@q2|AwzmFPbnJAjp^n3vQ zdy>X30AxoCA4G(!qB)@?zb!6r1-K{rMsLfh#(FA0&iX#&#JrovFgU4hB{I}lN^&SB0Lv*7W-(3>nz(C!c4qNl4774HBERLlek@{9clO(U=m1} zh>p9!vJBohZk@_w71ozldqi6KZCH6>R0d4OiAcj_h^wHw+dJ*+mCx9qeH9gokC z)vcA@cpg<{@5oZ|^cFCl4d{6cyILY;9*%6`-CmO@q|BG;L5ou)SDLsK*o@=-!cUu> zqPb&fLQxOBSCtJiE9gjGKUL$cy74U+qiRdq(Ww!cp(wxXk3iZ0xzOT60J`|B=f411Lnq(0vQXo*wwK&ikQOP6e zv_RvWE*A6m&w-{3#6I1`YY0%E?`D3#4*k^%i*gzzb`-f7wpz4OPMY!F@mya`iePZ| z4<2j(uBqVQoY_5l8T!MwCD7CHGu>*MqiP;An=_?p?1bH5!FZX8!URz{hMh*D8L8#qr*GjA^x=U z;G=+7pu9ma^Ii!AXO+tp_Zv+0OgGn>C)iDW8UK_MWkwjwm+ymD5)?VVNZk4gNE@E3 zl`gJ;&7bM!VWnQCBz|PBVmmYKeBTv3|9X_0tL^9iVrBnKs#W16+pzx%%vWk$#)DiG zcPaf2a+9OusD7ZsTLt)!G(0?06C=<0x7k0u0ym{|&w1d3JZ7ppF^0b_mVz7WwJOQ|u1n0~tEAr)uM=5~#2-!o+F z8R;K*^zvV?0kazkhPd0m0?gQ;+tF7b(#r<1fO@fLNI`yAZCh+YSbdB2PYQ@Hh^FbcNd4h_$LHo8(SEfKyVoZe{_Oe zAOtV|O*i@%KKYBy|G|H`@c_1yijpYAw!fr!67&Ctjs6=pws5kAlwpIEp)j$vgVYbL z@h@!h7yJChwl=PiYx_t3(z8)a?NpT^F$E+L0uq1}APXn}B!Cg%3RnU*fD1qmiR~aM z&VUjmU*vzGkN=Op0z}IQqGbsfLo_}C_JA#5_>VsDcMKq9Ao8ESbv9#V`6meqMFarg z)?Qz)J^%nhJOI4JyuLnVzrMcYK$!Hu0ie_FKl=7r0KjzvNsswY8bu}mpa%m$eeZwL zjFJGLArt^`mmCb84F72d2J#JU26;>2vJe1JH6ia7OacIs_P_cKlJ_?s$Xx&c6-Zxw z9s_{n6ab(!ht#d}zp(r7s}BF8-~P8{{@s87u=oFqMgH#?8u~AQfrbbS41_-p5m;Dw z2!|XFg5eP0;NbrXEF6UG4`Ka7f8+KR`_X4LjgDEexjaHiMqBIBn>W-{}hS4SvaDe27l-WS@k8RWp zU7jWTqf?(2cQRb8PU@WN;<}*ig^2CA--i*ulZSyH95ohYi@q?7bk&<5*CICSt}hD; za;Lm{5&}EkVs!o@#z2Tggon1KPf^oi5-~h1eoJB#gNUg~EZS-DgIiTN7ndKW&THT1 zxAM^9SF1I<(iqWo9$&|+y0u{1$w_aW?30Pqrjc-UhLAZ+i2pBKtm0QrRsQm}@>NSz zV$79|_NM>1E{DCkNvo1Syp>p@Rnw)i!ag+%Z(5~e!jn=qgf|BhqI{g1`Vp+VvTWqsHRHy zOihN}(>DEeo5HjQWY0OR!7Jhd6}5 zEh<78c6*NKe!qG;tXLCYi*iGyT&^=ZWTnMLHdQid`Vq(WD8J`wU*%M5JyMx@CYlbn zTmCz>A<*b!#B5^_d-PNy9w1OYAK7NWJzxxLEe&>lNl!jAuJM<8jA&HQ?P zvU+b$B#D5K9J0Waghvr0Zz#NSD(faq7of{M<19-22?K*jvLCxE=1$q+pu*SmAmxg` zSh9!~V>p?;N?Tg(@HyQbZOPocvALnwIf6Dh{9);Ae@;5VKmmw5l%h5tzSSPSK9;Mn zK+o>_@NQgHK~wG8@zz>ip0a#4MUaVF(PDw(Z9*{1seD74w-x-%V4?>L6rjXLXz^3e z-LFZTgHly#Kc5s<2?NH)^ND@~vRRfOr+y11Yn>q4OmiC_TIfZPwpph&k$O6=tM1h5 zfs4p7Rkl>g+XUlC4B0oDj^bI?s%B*NuOe?BiYbnlS8*9Ze@!)Sl#?=u;h}#&EAzCj>&tM&eUMGb2XQ$fUpbS`r?6ci#1Q0`NED8Z=Kf)+^gRi=V%+iOY_zyvu37cUcs--|qfYpsNr2YDS?1~|cSo{=o-y|9VjWK2 z#-Rm|_7taUg*Mzn-q-*@&e@`q>!YLXBd&`wPoY^deo#VT+N0HKL?pN_ZdE=x z1C@4(%X%1|I?Ceqw5Ht-e$518HhS&;w$#l(>&`S_Y1qs$bT_XGvn^(9PfioPVeypX z_5H?xuOLxHhm$iCOREA^A6dH$9%rgt+-j^}kEx!CtOUv*r3${;vPyi7HAzn~jt`4X z1U^`<4p-c`BT*VsVhzsLAa}-R)Ca#}jdLIeKS_MDX>g`D>SJ?`yR-|O?n~?IrE@vq! z>NoPY5AqwiKh+2`W!ko|#)#^qbPhO}z zaxC5aoL5k*QNLQ}Q8wx9Ef}l_#tvd@Jtzr{EVI#QMpN?h;^=t@LQ*_CSdWX0+$wl# zh8$>D?kV0#i9jFATz`wr#i15R=F`zuELh!DDxobW3(U#K(fOe|VwL@6N?KQwUYCC5 z)D>jIUP!N}Xw-7Ti0&?uFwU}X9{%9|XF%hOHPC>2I_dZ){E>75EfB(18aX2J{+NAQ z+lf(3i3(?0Erj=pv$c9<@ieU*^C&sR8!3t4y68!$IQ)>NTqDjpow~L@F+CrbJ1D)S5WByi(8-fbxG~!5v zJJLWW?<7q@cPUsFan)q3e&%1rPN&kms_T1hy)`X&Pf^tHl1w3DO{0~k!43*e=D@5X zvr&BoDwMdrDmmHrrv_*TLs*4`9Qvh;E1Ai~&_8^a4C^adD17%u^#BEyJizUj9Nq@5 z2P}L7by3FhH_9q~vwL6S@RD@%(Ll?~r-hK3d5bRtO|>WGA#1zR@wi&B!H4zVW7(D6 z)h1Wr{5dV1P83iXfuH5<X0VG4?>mY~4K<=E8a2kC{TryoK+0Ie!`;%on7}dATq_6WZ$cP@#7rl7gXevc}w?&RJ%jr!`pR5}WM~Fyh#mj|4)5NHA~>D2%D`w31V9 zjpJMLN(vjVD71YBU5!h5i~tq%>lT^5wTZ;*krb?&iBgXKQm5Z6pMJ<*IMEa&mVzXyHih(~sid&N+sO5fETH`ZaBEl^fHeRf){$!<{* z@k87>AvcHD8xnXZI;&4bOi;ua@4m}wTrx6UWY)WK4&9pw60aK=H8)JuDVABNO%+u@+WvERS&G0B$e6}oH9a0>LgJB+>UJ02lAMv0YI%qT z?PfX0kwc<%%r##c9z2F*8Sqhu{R0$AMBoR!uPA}28nZb76Xx-VoffU}!3dqnB>MSWcS z?Mzs3XCu=GH_!G#`TOn1;e+|I#1z5(ScVKZ3{c6M{bl)$=JvR2qEHQ}@$}R2mp=n` zyP!~}%9L=)`To=Db+q##&O}{{%ey&SOFlnGW?%brt+t*D;K4Pu${^5&bh2Z4uaE3@HBK$8={_(Pn0H0)+(svUl?bKFT*q%KmKLt^6-i z_oo#P2-qIF0>wuW^(6BQWsM^V5;Mnw98a0@f#6U=UOL-PWxj@+XGb;D{`83Lp4Rlx zD+#!a0D?2O*NwGLvECX^w_fj{BkGNu?c6(Sn)U12ekZ>>$_1I7 z!mkVGMzbP_;MP{jEnUX)@Nm%B_LKAohr7F#apm8&No*V+zj1@I7<#y4*=JPeGUbIa zD0h2o>RK5W)F1Z6+=xXfhC{`Y&9xyAvB_uoUauSZ8L^Ubp`Z*+I17XRD_1kym(+Qk zGGODoLZ$x~@Z_o>=*pi_VCnI?EKL{MYW4lpyCq32$uy&JaaZFqpXGj|?VyD5HnpwO zWBgQfd@rxq z7HRa$Y~0R~jAjmwZnWqgKla{Fj&GAmEF1SpM}M{-*N1W?yXP`1d|D1hbeQJJl(>KXKB#6a!xre|jUylP#V%p<~dNFc-W145IT@U&Pw-^Y=`+qF=0?G!HYipa59rs*+C zED%^8z(GgrwG2~ZPGMhtbbfhV> z%COmYvFAvRP04o60cYL6i&)8I39u#w!6MAX`OIxf%3t?>|pAy0|qO z^Es@h?x|)UBJ5A)zulTQ``h`|soz~}USnj6YGztP=85Lk7@BM-W|A3>omS-P>@vG8 z=7`&hZ!Sx9V+e*<&@9_vDZ&I`gSipc?I9*QjS!Q0F^h1yKmzE0H*r+ z`PK~&$c9cxCiMK`u4bjtTP5H8xhb3KSeJtYa?F3byzDOwJgz3n=`PmQ< za6_;qLog*1;E;nFZqr+H%h#|N^pm32o%raUjzj8C7*z!_K#<5M0)&(>H?(%Em$`K= z9Cvw*DJKM>E1N!UR^9&q_1C`}{V(wSSNhAjvI+LHWprS~nZ*i3QZ7VpHHX2{UOt}I z=h0_%V1+I~2N^ZV(Yb?|^t8h`76EE<&=O-DaRH-nap~wsH*|suLh;g`z8dRn$pkT= z5Qsc1l0eG>N3fae){Z|xj^l^EhnFEm!5dqy=EQ4xp0xp^-Ct7lJJsP^@t%iDR|i)z z7T9Kyq5e~JkvP?!Uq<-)yKR>Cmi*|biDKQyjh@6axf8P6rQvIlK3x4y8d2xHU7G0Na;GIym&^vvP`8 zhqc)c&;I~D;|K1Kq||*y>MsfRMl=1guA@{?B7g-7=g+x^vfv$D8ST|u)>B*VKMM#NG@bywfOcwS6kvs_uFC!2YivHU;0JCXTA?j93=PyYZ6M81yo-^Bg4H>Y1TDmH{+ zY398$T()If@yFHNjCw6ir6~DcM-zKFRoXEnQqUB+1&uLCiB{90I08WsArcoY3Qz(v z{Q#5j)C7R1RIofS;&M3&N$O(t3gU%kUCtAHIBbc6aW9~}y^d=j65}>ptOftj+0f&LeN}Kf~S`(F2l~BEpR7Eo) z80rYuX8yGOEJxVipEd7)EzFBNn-V~fRbU3t!vTV_fk}i2VFk@QgRnnP=-dEN@AxdOBc`%PQL8U_IE}}!jH}T$aHeV zHH1kJ9%Icc=^$(}iA^y=CBcnqa4aR6zYilY5rEKQWQ)u(Gb&9?*C&UD5Kbb5rBPxS z+!l@MlJWe{Jzo?KoIz;Dd4?ovuRsvY(}r)n++K$6=vh4(Cj6bhD$QW->`!(s{4 z-$%@6_+RLGf1&hd>H3ZDG5+FHc*|-e#&UNu8kzc;zVhJmo%C`RQZqy;w+XBoVYRDe z2-L?w1S=rEj%+Y{ESiH@~EQPdhx<(irEN=9KtDoosR z^M!PC@`ud(>#ko-{C+d~tLfdC_!pq(rf+&;_gOo+d}-SAaj9{5uP6V+05uQ*00sdA z0tEsE1OfvA000000RjUA1qKHZ5)&Z^5ELRY6&DsGGBZISB{V`LCNMQNL;u5J+(|@QfrK&_s(4c=Jy^rGJz&EcL2E`bO{-jS;xE2+YBSf^0e&E1m|ut# z5I+zzR28q6JF8tY6}a}eXG#m!{P35H>(!CXYHKzOxaQ~6jvtWBc5ii}90^I*>vJdd z&dswjtoK_UvE7fmm#-u521wez>f}zSwL>OBr&Y2}MXFgz54)LRm)#7d zM((NQ>osb2R%7l)Qn22pWg9Cy$V@Lazu5CT-X)t{I+rtB7LG=C>mc*SLFVur4rNs8 zKgCq34rTNy4rbIlyPMGQ{CP$ds1$o1e@q_KnuM3!s*TsFHDm5HG9Pi7*;%c82$y0(Q`BKn)Sz!D!L3F)AD-a1FqXw7v{(v)_C03NN3SF#q9r*(;gkfSBr-`4 zPqic&2G_+@avyT9!}FYR#T%+K^y(VwNa^Hu3WHGdhUxJdaeDhw^2o@UQHDrjMR7Qi zCv2lL9=>O!%d@C{wvPdvlZ&XaIe*~XcN|nW7~ly8Iz~EXI4E#XCV3C1tjSPhBpEFu zale-SL`GncH`s6G3VetzQRGqQBa^5Zbb?Fj_Z8eVqHMJE66OQ3qUP($SFc~iN?kwX$k4<*oOM9KPxb~6VO|CtRyofm_ zUlmhv)Slqe=eQy>1kkRcv$b`tCd`%_(fptzN3u|zAjymtj$|q{XwBFy7qw6=6rK~J zvO#E~_WLMXD@6NSX--LAbEs7nZq>L!$Wt0lz=(+d0Hb}pn|W=W7E#Fac~BT)izX$L z>@grp$C-XbLm-w_Rry+@N9F zqZcWW>&$xN9<;@@ij_vmtue+X$GCmB9YuAC&&Fj&M*dyK{zQ8X_cA?$t~m0Nvp|89 zbW+|&S+m)E28xE@ty<7mI(We>!klErwjn2qUEVWK8JyOJN>4DOLN4;so=5I7E+E0E z$mwOZbwh?f^qhlIYfGnxTt!wZmdJ%=aa~^2rO{cX*X5-Nld%FLGE+%VRB)syhU0(g zF{RklmZX%04!Mhbfr^GQT*hU)#(Rx~H_+Rvg*qA}ITpy~L4FaEyo=1cj@wqT1<7&n zswwf)f>t!+n9e#(;wagA1H`ezbQ;<+)|DFY{QQ&Ar^9G$Vl$@rqprJ$VAQCwC_c2p zytLBKczIh1tII8$Rn8d&Cn65w1#G)FDJY>-vhLkrU4iYlV+X{-qoF}jdz%X&X;+s1 zSdKoc%NYDn-y;`SY}H1+$Rygx{&y{bii^p04?6;~^@$Bku3M4XcyGiJf&>d;$bv}J zbarxKzR&!i+-F^Ki<>rj65+W-TudurtXsKEliUB$Ghb`s6_)(owu7+DtMxdZMR zVdst>Gi4!Bu_&hGGAgMuCPrkj=Mf@igshH_us$1Vu@T`J$rsb{)qlmyuZS4susxIv zw(?>_u^*W}WrV#yo=sTlm3mQU0!h6OsA8~`py_dNi*SGFyimtGip9Xa&2IU-MAI-i$WA_(GpxxQIORAmxSiVrB=qa`b{&=t>yWDAo~iM zpQx(Nf7D&5d3ClqTM4$sXr4YS#xoGv=?UaTFpO{QK0uFfeaLKEmc}`j>sW&Y>x(R2 zmNW>_7;S{>27Xu8TbcO~_K-f~xc)nh#^SEc%IOFuX0dlbrpHag6mD2oN_N_N%Y}_) zR^*%_EqGM?W}$XD(qt5zx>&{RADT2M_n}Fa!&AvegXU}Arewake@5av3zYSpkvPpw zZ>L4EaDNqgJ(VnmT&}gPT4cvUdTrk~!qzOFP*9>?>j>E(oG1Ztyf{h<8&naNVCSfZ=zDbZ~)1~5s) z+xg@L#*ul#yy=(;$p+qf6+%K_ctumX6+_XW7oZrg6 zyz(Th!!`0>I{YHyV1cHLw31^8piHewjTsYjyLkcoNiq+27JLB~-bP~&zB+3*l9$@g zF|Sz_aa>svOd$Zf2Zkn-Hnb%F0P2Y|*;@>#x5jd1DOG9gt^Afb`bbz!8QD*?b*ORE z$+Y!MK2NrGi8)pHRP!Ut;uL#44%Cw3}EGJJO-@m@%>4< zhfRreWJz`=IXYR_>Aj=LiG)(X6Rt7Lc{UoCJ><*DY-I&L`=h324JaUzBQ=^x5s)>c z8pv}Y%xRswwv_J0L#WB)t=_F5?<94Yy1Q+^ydZ$Ng2&55z~BAHGfpTTYjj3uX@ z71(}8`=s8W*tFgaNN+a>4}xcQgrn71JaZg*mh2@zCEn&~B1lrq!2?=HGTE5(B^-Q4 z`bc9=rYM-xXknc>s36{*QVI%YDp=w(IzqFmmlv$T0uFw>WV>i0O&G#LhCp1Xum1q` zr}AG03)se-(S2U~HMUPsoY`qwP-}m?{eh^B$wq8WIe$~9aU5LJ>%~TqV9R#3x3#OP znf!kwN4-`bXJ|!LhSDK}BGK#^pbgTnfK~C(It`HEL*Q-?Wfn_Rs@rP_=N3-jzjA?G zOF)!ojVIwk;XLZD%lmPJpR~h+6DF}7A^B=?;$LFlZhVR3FlyZAeq(sNq;#(sIP+Au zBfW`a3ABfw(Hz!!durfFp6XyxV+}~iZ|~fTjK^fj=<$u&G9Er%_8^rl0o4?=NXBYk zX+K$_HM>cdv1>;zsoJb2)_ADGsvwBfdz5;8gHRekOC$-C3vY-u#vMqz^vS@eu8=ks zIwmu!uB=RkCn)=t?5C5IzQy=|*zwf-7_cr-ZTrG7-|7DVXz5F`a%;wC$R~DFMxISA zNebpc)Snl9q_uXUbEhXKkIf`qNci=`l(sS7k7XLi5mUGJ7O-*lAyUY-Cf3Aw{P!5v z36o`_yFsE8lQh3es@=KLjVZ@t+vz3>&OWSeXF_AKNXcfD%&SV(2H_TL(AYZ;!YylU zhn|C6)t;l{HHBlX4~e?Bl*uVsu0<@}ys>zFLQdaNle2dd8#c20wvG;|Wf4Vov`QfE zqhj|JQq;_rcHp=u{REik8jL8*F;lGenVdpdinaZ4Nj08Zb~ zLAP8$g*pDct34T&iTtXq)hENx{{TKf#E;p!BP4$a8yTnB9yZ*8b`Ew)D~gh|gK=sn zc$jdE!iGH|r3>5|XHc=bSAjCdGg$&UrpZ#&q1Y3H63nhJ%UQO#CUKGB_{Ne|UqXRe zyglo^BfG@s>UNq|W0n_=$Uc4d?tA%KK~marD7vmvc=?boFXYwbzn;Rhx1D~{h(kUw z=}&ijJ%8v(9>MS1yx5dqAIvJ}*Bwbc$o#k5DMzsoGa?4EFygzIrjx-{x3+K!PEkGb zxSFYQqA}^Cjr_hw{m6`TjLW2C4JHj-jDH2cmu;IPs(SPImH}l`jys6ShHte~= z>Ln3qkMle|ZS*vQ4t!pb^~>PP-BE6JkH1pe%s#@J2v*>hk?k;D!Jffg$z~ie70wQ! z({!d;A*O24)szSqk#{mljOti!Hk6jng0OC`>XX)+Rdz)kYbLs+?WeOyQM@Axb76A zG;T&64Xb5GkhL{p=OU_Lkq^t@!bls78<7rUtJw}3f)HLQIZNF}W+?aS_;~*S(~vRz zidzPNrK6VPeZlq$1|YBU=cv^5LP{cUxHH%pjl$gE495MxFBEC+-wXr?L()!g)i@Tc0J$`b})ZA_x}J;li0cYxtWM6-P>DN zX)tu;S$sEPYBV_{r(w=QZ0EvFleCk`bQO}$!xFcOmt#E1sRlv;nL9s!P{W3(`}XDA zm?aOD5$p{^a9t@wXC+fG8C_I zicrfh7{Li7=k})!bv| zDk{Gn*tVIu4IIgnq(NMpB>rp#lA;-oWJ8idoJj<_o%b7<@4tP<=ed~Wxa6Z7j!FbZ zVartYzfjW_idymvA|;7l;6D7fGaA`x$N~UT;W@3%VO@=C_4cIfIC)H0tIr2eDTGuo4Bh@dE zFhoPzK-56Yh#}GhV{yL2kW$EkOl~=i&vGzo;EMgLvoup&_$EjUGv$~pX#YK{8+_??G_VQA81op$MMhU_* z<0GWqb(_jv9mI0nwj0G*;d4)KD#FeQUd8x6oQ&eJ5n^J|AWv6kj z(`Q#cnqeQDNbXIQQCgbXoT#{2G(VcH`koy2=#c{o8oAq1eip9l{{ULWer7M<8j@az zCPRvFkovhMR|9$G%-1eI7oJgvo-rZKkn@Q&J^3=HqjP~Hn|^ilxSFb9)Z>pzQy460 zX|=)*Tw5%53@dEk6n?20g-Yey>I{1-&m!&(#I&4!>ebG~Go~iT)%_r69daT1S&|=A zd3tTEN|v)|j7qLcrI+Cluj1cOSmSfaTbIdcH6hw%DQoicZr5%8ox9c-zr%@`Pr-!^ zVIoeX8TQ*jvBx8^x1Y*W-Syi?P zgCiZvp;k%5uUfvU&gfjl@;QkmHrmYxq?)hMQkxQCrNrhqTr7$W?G=VMWJ5=B@26v? zTO%-i59AG`ZSRBaSBE7ZP`(Tp)`K?n6~ozW{^9(f_Hzk+58`^S6OFr08c(albrL~N zHcNHlF!P)cw-?FNZAx)lhXRb+SrZmf{{T80+o^4tp2VW^Mwni$SCn;?SGKwVKDmtN z31bUiF8=^NN!>?2S)h-%m2{2=r+4c_-w`!vMU#J-7hr`N4@Gq+>r8MGA|=G?1_K`_ zt*XSv6rrfceC-UDxV}YrqhN9U1vFKY#nn@+CR?Ayb7&ePzAZNw)74Godd%mB83L5m$ zCsHJKc0bSXq8Lr;=r6LU?b~B;93?hxcTtw+;sW0_7qr?lPRji&Bjlu!rAZDXC2M6- ztz*he+-<}p`q9*xW(q?}1nXm}fNwNItVSD5nNGsQWV0I9`U6#~{X#kMdd-x_UJ^!4 zYqwYM)lF>a(k%$OrR9a>^6^XRdzd%%XRuj`k{gc3NiA14*A6B5$J{@$I}LsOHlFg6 z@Lc@EQP|2fY`cfpv7w$cPOT=Lg!guI@LO3 zCTcozf_of~&54D`;`XK5L$tvqSh}|6HkGyTWJ6)0x$)H5DO(!WH4^*L!JR2FA~us* znQSE|Wk5fFhU5PLnxBIT9wJ*Z&n5o!a`y321t)S@3`+gV3w)oU^?pUo-GZD~1^$Jp zNDJaYd+x2sFXeo;ZHB`Zv0}7U6Qm@YL4`BGZjhOM5%`YD1iYr@VcR)RDN-Cz+{|Gu zbuDE;M@IFol>Y!In>f`Rzeh7Fl<~TvN`daAI-V=?VfDv*PIB_gF`EhPL}MVia^}w> zmDX_^5>@dqyI1#LhQISt3@mt#-8sy&{PurAc{rZtTu!?9+=iv6iWEvEEP=bYQj^V2 zAttYC*D-_wT!|`$ir!BU@EDPs^;&U{jF&E5vd&^s6*XoQ;?@svXZ-|`gEU2E{C~^L z8tK@1LUV(%OQ$NHovgwwyc;?h%^OM+6YlcZX$DYq*$$%R9pp>&kx{v*l3{-m%kt~h z@9^3FYJLnXc#|V6(r5V$r`SX`+%Kj=L#?SPR~7Q=u!AEnkn^c+v!2NWw`Vy}wBr78 zBMQaolOrv{>Q2j0tzQ!EYqXy!PcLYQ`5H)#h2_X%T;zTesa<_gl@z2=lO7d*> zRL^r*4D*aN(;kg!R`h8MIk7s&a9&ZJQx76jlLdsAB}qa78JY3e<(K8x{_lpu{{Wny zfU)9XJaWmOaq3N{e0xK|z2OiW&Fq^Z^ zF6;xdoOMJ}91bU@H#76xZabJH0zgZ9f=8a4v((65kK0rj=|8uZz)5vuVUo>>&?6RK zrxV*-PDSq8%Zr->A_+p2uTuLAMsHx0>}uko*+NQ9P1pYChSS>7Ai{@u0gm-QlR4Yvcnp83KDwwMH+0iE>6?(yGg+0yz#YFV)$-^k{oUnGLvGZ zkDo1u!(qh1Ai`QbiJ`FmHV5;i45?RcH@bCa{IEsk6?-ZZo{oN#*`Vj@zCZLVxs`B! zVQivvV1zBe${UV`AsLN}b3K9aAb&3rh(F|bZauFP@L@y60T&js#(4uyBX_EVnk1(w*VOU-mTo7*O#A7V^@L@y65=+-VB-%4^=S@sVCA9%22@%;P*vCL!i^>Q}oKjmO zPp2gsk;LIndJDMitQ%CdRz{gP(jRW?1CYt|mfOCcx>-Op1sG9s9mx2R8KiBnGBLL0 z1qN=?)}pSacd?6^M>^n}3q6N(5h!e?2{B)%oV<$7ZRyUUKZo3EwJIv|=!df9mltWC zC6mpWB=$*?_?F|aj=_nN)hTW5>ijw$*@*)nq2i4nnJ9?lB+!y=v{<3oj**pO4ATXI z9TrHG(Ijv|gFz%Y9D|7B8g<53it0+3I=MC0vE8g?)Zbu`Y|(RxTTz)fmq^U^GBMo8 z5zKGhL>2A^Lr$uS!zCeR-%>27~aED>Y0j3-(oIBnn8MQ z1g+VTA)cwnN?16Kb8bT>N?D{O)u2RV-Cdln=^#b_05ik7zwX2gD0rhs{COYbmi$?8 z*jt@BvB-~!g?uZTiCY-?BWR4BV3wC7jg*t8$So-!#;vr?;Ce6n3Ji9J^o zw)8JH;Vd5EWTFH~Q7DLyn{$x2B2%4yQ%Zz!4s z`wg7Fo6|fymf6}tgB~c;{ez1u9r*5KT$+5gQ)bG^;!;bJyAkd=DM!Agm-?IiJXA~TU`(llGh-M14VGUV+Z64bzLOwUTA8V`Y(+qTIC z#D`PZjv$)~M|T-*Mbb(RLnh%WDt9>RBjDkAY!J1eB2rAE8)k=GIS)3T{W0t!Lk6>M zwJJ2hjM*gxyNsu6CW#Y`Bu_|6n~|h;8(wRxM0xqfaxDG!T@xnlo%Tw^VIgXGtL3e@ zkG<0;B)aHJjwIN5FSp^>{{XvB!Gj(rQh$P;I+~U68%@HK8D+Fiomtbf46jAmx%&J$j5BvoLQDP_frB*CQQ7m?bEJ496D?bQ}h$ zEf>{fAk%ha6LiQcn8s+ah_ba)OB;S|gJeSsv$KUZ@_eh@!_@Vr#EypaL!C=%lbRgA9cn*L}Y$iW2bb)C7V#8a42ubY1X=^R5#mGaO60oG=@>(xs@wA zBJwe)7|VHnEqO<0kI(1XW=irjq{D9|1FVuARH$yyHsd&D76}EjT0$Hexe^k^e*T`n ze@$KvJ$O`l{gW04O>pbD`dDN=JU%IpU$W!E9+D_1aJKZ&l3h5!iZ1-vAeWd6C~7X0 z5zvSYh4be;y{5hK#H(`}P&mh6sg@45#j!MuYaS~+thj(vYf}-%2D>RkRFxN7*3W@kG1q9KG;y0`(Hp|I%AI{eX_^5Ei#}V zZZY%{a5@4Sk%<*D@!IySRhQw9;a8FHY+?3Mr`XVIPjQ0`>DD9})9gHhcn%&K!7%XF z6Nw~1q^Yh6NFp2gC@g}DvdAogl13JS4!1l&(gL%BYPA^$E0j}M#02vCL?rK`0=Ugpf%BA|L<6 z067r=0s;U90|EsC1O)>H000000Rj;N5E3CV1Ry~LA_fN&GEo#T2o)oNGeTjZu|RRb zLt>KA;s4qI2mu2D0Y3rHz_|Yat#XPv&&kecZK1T0aV{fq6qT1|xX{`hg&}Ur>~cez z6wP)y)JWn#C)5;&FC&=bIk8LfN_(1{HMA))$+ldYJ;@sOB;<)*7b-2N?kLHUgvy#C zrALE%C#zAViZnECDArq0mg80^sx+ihDkEDCk-53D7u;%ToX0n`PbQSgR6Y0=59vpe zIuURrGg1_kEZ5GYV-|V>RE=i5Hjp#+~*~pXAn{K-sqW3X5 z6kVIycMZtB$q=qPXlBErjr%sN>n1f>a9S;7*=VxF#gmALBB|_YX9DE*wkNi7`*L|b zkdtyJRwbyMTu3*h@<}=)_je+O(nr-z8ul-1H8jg-xc5-CA=%7Erc$&8M?ZLxs*`Uy3IANksTe7!23rOK5x)LJqxjQVAbU99qPN?3F zQ5yPXWiA9^wI%C0S!)tTakmpJv1{ zUK=Ha3}tAZ9HRIAm$|)(ZeO&+dZ2HVzSGF^QLfF&M_Cc3jjMAH0#n>cMV(TPo5|V!zF=epb_PaxD zqimCCT#=+n*?rq~;o>+mQj#Z!M+L=-hSp07%E-Z1;!!5{A^sj)8g?BDtGi=!0}8ii zQ5C41UZTY%DA_N!yOV1W^N%?<TpYKY#h4B3_?cJ1cSmcs5PlM>ct73{nqjX;7 z(3@t2%`|LjvI#6Ktyv$m@Gjl#gf+-eQGtz#q@47R-4ZmAwviJPY)`xIS zJVuf46b%tevJk>(mKMWR+hsW-KW<*sD$+MX?!tzcn6vtE{{T`_)s$Vtglbtqwqo<# z`yw~6mY&x=CA%dV3l1d>_d;zkY+}b#*yFYWOGZ)Ty@s!Gb~vWYC^<-zQARE!oVO*s zb`cl3dQD1180x!UY)8eGTB1@lHuf~P9!)k__d&=v$%OV%KBK z3OZtA<2g>e8kHY$O=aL(P@a||Y2Efb(mB{~N~7G_7&x+VNfAoKt&&zE3e7Z##a6>8 zd=8EgMDwFfiW><<7K;<^yD7z&dBw90dk=O{O3Axo%@F>c9hY*pQB-WoM$|2b6(f`; z(P*C1$+9i7J0hDYF;KZV5*$~9>A1vb_bFw`r4?CT`B|zZib=XHc4DPMYV%DbVSTy7 z?j7H5B-_i*Yc0n16qCv=CT%gxOC+G!m5Ekcv^t`O{{RA#eg@Uh_%@$=7x<$iE3vB?k-9d z3Xdi1B`rpkc0LI1HY8Bu#Gifkp^lOw?k*g9>w2fI_!BtSy@xD-F8@-Ybvx+MZA*IOq6f2 z{=?45QG}}us}Uc##ohKY3N9r2ci@&DhuM2}R#D)yZq4kgW2SjICBa)9nruvMS+gX! z{oBq42iRDM@?vwA`7;mgBf%^<$e&Kf0*Xk!*yqa5yDxLeV`c1ZL#iy7IalvPlMC(2 zF(QmrrRC(hB=!^2hCFOf$quD?E;44AO_IU)QKnvUNLg|#thesxKLgm`B)2w0bW4F| z$I0WlD;lSsA0`ueD=6&p#CG!Yn_to-?9_!PWHH5$7CA;mMUugV?0qR$CYKkKar63StRJBQR6Oh;P5ABqjY#ZT-;=O$HU!UqV<1T8{xn9 z6HN&T-fkx=vMSi^KzHXjm`nP7lT^pqhW&;*sg2t75(L=%@8?YmYzvp1bh> z0P_C;d^rCAjP2QZr)xYVy^d;&x12dJThrM+6*F|pZ#pi9#M*l^F*swvKXs)+cKya7p_}Dp{ijKG)fa&touC&~+$z?p2{swnu>p zR%wa6_AJ_qXO751c#@^bh@Kp)E>4fC#^}1J;^DfKU8Ak)@cv+YqlZ+tnu>qja(rXc zeJ-U-HgS_rk+wy~%O@{rxXva5tTt_)J9>xCTV;VJnbiLPhBU;s7RBl}6>1c3B&bM_HDD{4~(z@&=EDSvpz4!kBj?dxet$lyXzNe;_`CPxv7Gb+WcbxZ-_sX(dlUlIH$-u781-PtKE)B)8c2-m-v$D$* zF2^L1^;3|r*1b_Jp> zg_wIqmX>`)w^^;T!ENkfRA)xxPfkgtp7oaI`KIqCpMlAv>eC#OKCDF~%~*%ia^!{@ zy^p6zeV@Ybtzq>3wbb-e{{YmDuk3u{^u_7Exr@`yaVtj%eT`mn+@ryFlzAZDlg@a( z(0U|w5%=CrtgG@nY^>3^*wbQbvXJ8zXo#YbSz!IcY3xl@v)K7%>(lsm<6fJnPL`^F z_In@1$EMe*bp2!(w-Fp1_A+tUrij*|J9#a|xVSL1>Hh#zhn(s!zINk8T(r?w+2E?n zV(65=0@X4w-?qDOpS+_HRJ$;7C54Klxktu5J|D+FC%SI0nME({;On|9Zig34#oNlF za`ze|mw^aO!eiOziEBIDeCNpd%VTyZ?rlP<7R*t!X|!oYWnIpTIWWHB*^Sv}$ZoNG zNAmBg^x5v?b^Z5W?tH}QF?t#5OYL-EV=R0-?PE&BwB`3?gkfC`Z=NT1`AJ-genk{* zMenf~zaol76#IGooDaV&esfuW4gR>g4~(BlC+)^n{n7K!;YoC!v5yZE-G6h_o5IAF z8}NASXZeQc@FbDFXN0X->d4D%v2QL<1)FCUiE3pHCMb&vZ2B~NVu?!s0CQ}xdCMC0 zmRPd*Pt~z~58^Bit`B%gGv^HSI{kNG|z=|7eF^ZY%;`#Cpe#==`0ANI=y zY}o_~U$KXZ;3=s@*qkjA z!;(137nh#L!B^RYwizdHu?YSjK4N-*!rfLcN7m0y7AF_^K5Y75Nz`@t-$F`QbKNz@ z=cl=0V#^KZBYjy#CW~lES#F4&7(5QIJVvx4{{Ulrtc=`Qhl?2rQXEr8E(hS1q4ARA zyL{H{ilk2TlIO7qhxFxW^#1@{>bieqoxt^MFVT9_Yld>Nl5K63(>~mqMY8h2TlPw{ z=f7*ulJdjqTG@(mly({?grrXeql~E9`yR~OEETF|lujPqiDAu1SW;r?&!b1uMDzHY zrIYmh9CM|g)WAD5JoJ^NgheJzBlU;zJP{ zQ!zStz6s&W50d+Tv0B70OKb`{9{-H$gs< zOWhCr9+^ACte+&%m1V(tnd3YSo_kSReIj7K5K$>g&BANt%LpXMG89w!q`A3gmS==$X4 z;}R!KpHJ6M4?I(3$hk9eG>$S`m^XPSq*5_!Nc$5v%lx@HG2IE)T;(p#1(mcWUUthh zjhyC*U5H$t0eX}4pNsljbn8sx{{Sb?UXmSGru6+pe+R7K{+Hq`MgF^2e*5h5c*<6fBC9Z`Iep76mU6=C zVwZQ#9 zr*DD!e@@Tk{W~A0bks-FdU_wG^y2j1n0}kn67<{&3)6afKbG|9ewovY(>iMxru4$} z?wW<^y*gyQJEd=f`FBlxAE4>K2lDQkxFgZ@iG8GcUYU40oG;R2EJz-c@RvvNr^9Qn zP)<=>{{Um+UrWODUr~dq96xgQNe zDNx<#E^?PSfB(b)JP`l_0Rja91_c2J0|NyB000010s|2c5+ETl1O)~YFfu_06e2TG zBM3raaelxtR{u$LT(kVVheGvAQ!N=(;Yn zH)Aq0EHcTPitOCn-IisfeSd)|{tS~GD;BV1N@#0t;yiO$ohD)q$ds0 z2`Q{(@}e~AO!;|!N7K*pKAxxKT{OQU>Dexw52j6WE|w?DMGK~nRCVg!iqcn@Ng6z8%+N`{$ z^(<4cvauIEixTG#ay%72`@;L3Ki*^6V*l|0NGrO@NKBz_s4># z-*{hghFs&_V@#7NzhY8Ri5aOfdq{i{t~kV5Oz}^1H8V6WI*_=k z#^;L*nWD=UEumq35t%7j3RpF`mK8qp!sQ>ja5&slnZhbmxlW{12~EhSD>9-JRwc7N zv{`$O!(x*h=JB#Gu$cEPb88t2k0G3MGZf`HY>U|!He*R+?Snbfn7SJx_9`#ec+vZ# zVw@4;#Ugbtp=@6=Bgs3lNo6GN&5YRXgXFe6i%oip)-xH3H04o|jA^SZcS5MRW%2em z!`l_fku$?Wia2FYzVW5^kk1Yb@F|X+C@sg%3krM>X%8BeAr4A4rBUIz@217H)}C0B zz7#06FQ4y_DleCD=GT>~!q(#}D*hv*^t7Av*kJ2M+6>2#=V}(9R*x8~* zZlOUFlbbqQ=C_lvWLU~Qijr7u23L9f@3}1<2jsnJO8|bYhs{9NQO-jL9+89NR4>cx=k9a8K{N6SEt6k$ov+ z)K$u?g~>vjj^#c_vnws|z2lA9d+1or+)Fba+iF@A8x=Dm9HOE+hIVEy$Ryt7p%K+b zh+T_rduJ9PAg4|GQy`ODnd|0oKWiS zIk$|exUp7uHq{rgKfdwzHqx-IJn|`1&ml&}QL8cTu$r?eKPscxUZQxVb1C7rDsdK6 z&lV_kTQ2ofhGNX3?XxWOa4reI)_AdSJqqZpH5ibCl!!wr5Xo+Zx*o+un4O=MQSMWZ z)pE_TQ(~r(6p-YQ=4Whg%96B&vm)~=l3Eu9PrHjbTB^L$C{oE0Xje>G#r|(ItaFbgTEVp9Fn6YDLtNLP;xXWL<}|88p#N-C@Q# z%*<`PZYc_8%x?HMV(pt3(UqYqjy5?hjTTE3imUZ;pQMZWbRr7aTSIufB(8^e8(7?w ztTs2eBc7X@L0zygD)y^nL)oxDtC!AI?_5#*b3s7I*3Bx)%PzmYz0ww?To7QuRS zFD-M0Pq~b}B@eW;M6LH3bVj2@D_s$iFQMY`_ws$PN4^OWdmh;D<|4JTjBJ;rqVG*; zi8Y$A=|Q4YEy0naG@^x78JDqUUqrdra`XBba;!2&7DoAvsjIu^)Ay-OC~ z@b(ohsz1E9{(kuTV7U`bZZ&Krc}S-g=8C?oFNJIPsM^@jYc7OWR;YBZ3+Q_d=w*&a zg@z+?Es5Vnt|+``1yUJK{{VJ2ev+&|8=nKaN>l9m{VV;~b^UQzTLosU$?dTi&gZ`_mgO4kTlimWEfqjI?zAojk_GURr{|>}*_o=z138R>H-L7A#u@ zP5Y8*D=j_nPRRY2yCRor_m{L{`S73r03x*~Mze$V9u~nntYHk&u_x?J-Qvp4c#B6( zv{>}lSzXFcG%l z%NsTQ$w|rGmM?=Nv&NFk9K@yXrn3E2qKCewvm%AmY+ZRu#nEQ;W;3*BW@n8~1jzBD zwCFCQqm)kS(W6dtcKWpN7EXZ>HE!(}gZH_uHZIV`C?yYRjj7 z!_gC+FADGVmWmB#XTCNbD~xh6D8zLlkm@!zT)&%A`EaG^)81a2#^|s3J0rGg_ZQ-A z4!RpfNk8w&@i(KT+FhALwCL{{CH{gFU$ZB772!DLd7ovOnh? z*ZUPB;U<{)!oph#7Frm&I*0Y4ag8rqEF#0c8wyq&o58YGC2`5&OndD!QYO`eV5)Uv0j$$zo_;?PS`~o zSg`rgYcr^}b%s}Qrci%VBCcL2a~!X(a8ev{vvMhO{r5qKAR2JezhP<8$OEW3@^zwTR-Zne=+U}SMyHm11c3)cle*XaE?|)~$ z%!>or&BBbQrP&l(Z(I4O1k~!{xPKP zTVde%!Mr-JY;IHap5uj!Y+KFQ@;WeQ%S8Ej#9J>Sbd;IlBf(cZ1bn`7Y&JbIsP6#nm-Z5GkLm14^j zWipIsT}f5xB#()GGmL4}{5u~E`tSPpz%H5c<4)iES#9v8>nv4i8!@yuk5hfLQ@@Lb zYYIjDn`yU~u(-t`BG^q?jGDX;iG3S=n>YAp?Az4Je+BBn@fSbwb3T3WrRpkGQCkjI z6s%uIQ^L@%;}_Fma9ahY<d>x zRF=~G6z3Vn_+4qbE{Dk}#w&9>t2^nHJMdbf_cKhq?a6uDk;$hC(TwqZne*wuFspSeBE>AtDiSm`MSr>R!7cPxcbV%>nk5QUgPU)A3a$4>i0f( zs6KYF^R-W%tbFZb=PQq_u6*Tp?mn)ykDIQ1&2P!$*S{xEQPzc--X75FJ1&IsJ~uuZ zcK7<{rKv?f)`$4~mETiFvxJ`L@oN508mnB2t#8SmtM?Xf$g_S%+=UCXk0X3o@Mvpc z#Ti8vb1E_!P~xt5Xi!a#2yjUb2+E9z$c(7Siy2Xs4H;GzEN~**lv|9B^K{hfboXb% z&eQ5UtMR6VIv$D7BVWQt#D319+5P0HlZU_e5)wj4u*j>KQqlj!09+6N0RsX91O)~O z1q%lZ0s{a600IL95fULW1rs7c5He9=aRwA4LQ;VsGh%X)p|Qcy6(mEl;V?8cQ&eMf zf|8=MC1iAila#^-7B)mhRb_;u!_x6}m4)K)|Jncu0RaF8KLW+Qw~1GLm$riF?-)q= z1#p7qoiXxO5esrQSc_Y3;D!*^JDyn;iH~Dl>otW3Vsw`4G?jZ~dmgyu9`dmH)rTM) znoPUatF&MrIcDR%_Er%TxVfw?4~Gw}eAS#~Bxr22nnr`70quDQSqMS2?ZVz~Ekg}Q z8P^KJ>W4Ab*AlpqlauY86F}j|uI*v-dyqae61m5O>1QlbMZF_SmHXX;7t3E4?lR=E zl9nQl_O>Ts)NeyV4Sj73NaSl7_^Ww4eM}2HI@D`B&TQPA=Nm+}BtzQNQp`m6O!VFJmap zlb(MnIE`&g1-`Ux4$=81cHjO>12%PRpVF3u<}+hU!228355WWZ8SWm>o`3yUpx6lUYVI?M`c}sN09K ziMpz4Unwc6r-oSobxkZ-T+Z^KsDgGrnpne_=JN|DGy&Mue>ipiQj%JkV-P^wzC)FQ zbUPlEwQ;f5H4{3(`ZCs&2viUpJ#Ex#?8 zkNio+vC#L1M(_Sh(LRbZ&h*FeMUJYX*47ki!nOvJrKp;)8t6cOZ5w|CWBj28A)xbC z{s_Cz;JX`g<3iPc$`r+|Zxe+JGWw8^Z6;p&`HW-kP6ufVH0mg$A9BF`s^WQJrjPJX zYqq?s!Py%uex-x*-9uyfjURHw`Eso1o)-aS{r;W)RN29!*{Qm1_N_DQHQp{Ap-u9#B{2EqIIqQq0&-4opWp%9BvQLU?q9#A z2FC3)PO)5dl?LtWd8t6hB~f^GUz_B({OsFZ+%`q5imL(F(S^fLQIPG_tm*2H_0zD@ zOT}$vUXN#6w({LrP_w+_)o@V8Kd;RUG%z;DQFJX!16n&6P{&UYZ#y>1I*C|Kz#`|G zbk!i^E%Y$8+)^VXQ9%rTt2O%9o7cq1-!E1{qA!e=yAPvyUT-_aM4LBgNegC^*vOBy zWoLJQy)B>fO4<89mw5-}S)Gm8wQD(jYB%EE969nSuH{x^R7{U@! z((LGcrb!UR_A5nCOl|s2(9HoQJT`FZG9aj^qNHmbSG3uRK@jSMz()q}iz}5=FBa}M zV21w4S**D#7s@PUj27b0maA-rvw3a0c%A(lRm)o5;JVIhy8`lU_jK`zX9c~TRa;dV zaKRm-ZS=Zx-bOPRRX47jN++onki077V`~LuR=DDt^T764Gv&22?uI0lZ_pYulnzL#8KXA*WOAGDV z-bFSO=;!9bH9g1%S=S3>JxBl9|mc zq=uB8*!A=0+_qlPYZ}(>_YX0PX57crRI%Rgd^}Ae>vcOpWlLPqpRLJHX5xK06EgaM z-F~aA{tk;YBH6n>rm@8Sh9XxM&D~$NP`K#F`%tguk$dI}-fv9b^}%M^R{sF4S;<7w zb@YphqKtRqU%%-+%Zq4JcW|usojLxZ{uGROF&sN+G2E{e!Y+m=Hk>}yJG1%`j_+TFuu8qC&Nu>Or&q8z25QJb%bFjm~iB_n?PW`+jZS<=Gd$p z)7!$aKB4%nrIyWeWyBk`$GYrt5=QfIGIIws`r2m=pIrX{jj&OUB3koKp_=kBDUfbW>|*3TladZ?04<5 zlXXJonrT}pA>iP`IKHBdJB}x_s@ylYMz$upHpf;ZXb8f6ELE^@U^n5Ae$`b+D05_` zsC;h@?FQWqf}SZSmFCQjCSoNDk0~~cdp-BdWK;S|v*I*wy&o}xNMIdJ^q8J}Rw~`A zMY5@fURr~9dzuqpG1`7oRj~g6CBYs14VLF)`7-FEZ&W&F#6Ex*=KC{dLf%`#=l$z~ zriPq$MMe0p;j9<-DOf(`bLACa`JtokU-XY?eIxK$c|%x!aB2IHAC>Xj_{vrvxq3&? zRDAkteT5G>*;G>wd#PN-B@v~44P#@*);df)<>n(EePLpd#O8RlHoHQSyOD4ym z!rT^X9_x%l}}B-R(Ht2VTS#x~aJq>kUxFF&(*PkDJFduLp-K1nC(D89}xan%aTC_tUR`nAMWD z>j!$LA^lyi9;4*B31(@3q_YDxC35b=}R&T>3#8gOY{P z0Vkc&;i>1HtW?`Rruj0KR226Hno-cDqFN#?S$Dk^vd<#Wy6erV>uBy0#o}@QUyYd&qgGP?!a&7We%S_?HRZ4Rm#4pdGZ7oOg%2@J0J)eT&!z@?esD#~)_;$CwMmCJH zMM-~1Ve2DN9`==%;ApDG_jvXz$oh#|E$H!qb-Rj>c4KE8^2vLZSrabXO~|dR&Czql z+cyq&Ul!G@ZSH7UmltvtO>Ts3Xl<9yTQ!d2{NUX8CYfRar}TVSL%WZ9!z9~63|HXa zfuGQwF4B&^q%&4Nd?&j_PV1b+<@k;FisG8<_ zp-geh%H5XiQXW{&oflbZuC(aMXtekZGPL=PF%GDAZ^=xJg9BL57|<6q_m?!yhlP%H zhrK<APLhydwr{6%wD9d& z{0({oTg2m|KthgJz8pt{KO`N!#n>*LfSdr?%{i^CA(IPRFSzDb*fzpHEbIQQtFLbr zh+!SUNats}+Atjae`?v?P~SQ?vM*~1kFloNBIZc;aOJ#yXuxK4tdEBg_%X!y0Tpac zjrfN?OJ&ZI=kfte z=e$_IAk6^m9|NDk62*IZ?c_q6%P_vw4ImHj}Va#Fi>n=5aDbmUzBw6zjVB_XE6H=7c!}xDrg^87Qf%rbW3H@t$PP^( zD5#*MfK$=a@X^yZUlZO`Th{SL;7BQzP0w+~!!{vr{{Y2LNJzAA#>?xTNA6c5_wGlB z71?6jDw_*>XJmX=+Oq9fzMhW+EQ#i=dn`SRB}KC#>(tBvw;{}`T3BNu3TT5`)~)L! z5w*Q(Bt2C64Vf|gn@DiL;g&P&Ki|u{;C8IOkAs@UtPl9+Cd~;Bj#j5s(=#F0U|mOj z39~a0g~roIey~JC9_`N1-tJhVrLJ^N>q#Kp$MvTESK2htyfha>8}T_>5Gybo%iVPG zO8}=~Wxg|2X1@>09Mx=+I~!vyuyI>m+#>sE{{V*7*7^#_FDN-$orf;xwKdky&Y6g) zqiH*~FlBy!36RIDwI`76QEaL%8VYAz;r%q8+rH!~XK$r&;BR15^#C0BTzGvq!-ALl@JkfAwGSPe|nySmL{6P&?NQ-K{>po+m!=9@p z??kGty*n>sx}&)M(M-V+j>A0B*i=%FJg$s8XqLLgkewO*Z?Jc#O7n8#&*67`9TVe@ zE&OtIBYDuGB8pbD`n1raHFUJpQ2}?prd}`Xrv6Z@muVJe=0Ps$pNbJ|ZHcpKEDz^q zkV!E=J<8s3D_YTJ`c6s4YKOlU%2;T&eN@fn_cIG^+j6k&3i^6p8@f7wNbkWpxF)JC zW!)`H`F91hoW}56VI7*SsV2AEkNrli7#6F_Oc{~0$-v=}wtoXoi#IdKBSZmZ zT|-BmldD_FtIp;giZj3uGtuU(<8U{5>`ZP&*oN#AWh~fS8B*+VJG6Hxqj47w4j;Z#G*CPYIO*)+-WGo4-)@f1o1>?PL@-5nK39gcca^i~7+T%b1AIXB&skROO2Ylc z6b0F3i1Hrtt1BmYIOHUY^yTm`qRTax*sR!|{{ZT%$4dCvT1kAqjkX=-GxE06r(yM? zqvASU15HaEOtEvR;wR|IO4=x+g@+*4GQ!BRN~hr`HiELo^aPJ{|ub|-oq z05z^nE~li1QGZK2u{{AwCz!Q^CN|lpd9*OYes0XCI-;&uHQKNnHCE{2OM1a=3uF%5 zm-Sk-=<=1PKk`J}`vQ=EI?r-H1>06o4hP|*jo!4MfLKX$%iW534`O673@l)?KnTH4 z#W5L?>a0$=aXI2xTrazn90c`;Vx-y{x+dXuouSu73{v9sk{G|Wr`A5T#^N2w0Jnz| z+{ZMq{Ea@pYFmJQOIDp8QVhR=Ds}mVPC@40AAiA) zA(kVXk06^*PD`n&h6;ZE)9+1mgY&A9id*vR3v&p?Q7=?>4%Lf_NiTOv+EHm~4g)*u z?o_oA-wVZ@NahsL$#350c0+DWyL%P}5ZB*?_B&Das#?6x{vpptb63+BqjSLR>iu^5 zQ-KyOHqCggN-D^Xj|JYU_OT7cStyPI?`69Ee`21ts$39KQ@Tk^P2|yaS5;K(Qa)!n z#@5%D(P8fC>XZXxb^C%2Wh9t=rwbuA*RO_=npvk2zhZ7M+S%J3?=!N+-W0HG>PR7B z$*qn&Hcqtryh7YPh?mJEm*z^$*=BFhd6lAIk=SV}jv0q%VNG~94jC`X6!HFXOg$xs zS&M!w?F%CO+IT@ogPtX{0CL9^kqzW93uY!1$b-2OfqGcsuaf8d@c`R`%sI0 zW?~MKH8Z2dV*E`cSyXJdy4d|SCC~PPo|(?J`Ys=x%j;8GH%A<=C9Quh(b1Sk?YhhB zUA@c1tg=>HB9jfA%2v8xob1oqs^F=pgiEwQjkMd_NL#7P+@A2m#z{j19P6DL5SCcC z5qnb`FVAZumEXmKhU9WWN@_|&J{z@!Vkyx>f+ikVavlSccJii9EkJU=5$`LB_V8L~ z+E#8B3oO=aUxB%v?tK)Sz2W9LCp5G;I9bhbP7H6Ml;VW)vfi<{W1l=Dx1UH=t>)`n zHC_eo-M1zG0I=SjzB_g;SNLU4&`piL-+;*U2dsOQm@eDliKDSW47OyYo65JI(zmSr z?ExZ*oSpL1OZwV4^FmPT5TN^uD8!m?Ss-|Ah+?H@u$@8TvItdT@(u5SW&8CQYtsN3c z7`*g@6D%{gH6tC9`X1OEU_soFCbMrWXOJ^s7S z7X9ieC2i@b8@-nOyob`iBS8Ej*V+=dkmS#L#0Y8SlEs^Nv|&zjj;D}UZ@$XigRwT(d;q>uL$__Y!+)ho6pSn*t_b)8%_!!3Pw5D=ev}`yYJ&$jsm1=Z(#k#S z$FDaM0ytX6_6AvTEZcR|3nqo9Ne3`SVN^wHME7Eh_Z0Tp&30_)IV!Fk?AtoaYFysi zXzG8PZ%))_wX>%m+$y5nnaiMb274htY)l*H+g?x1*mZX%j}1z3mQwt37tJTNCp2UP zc&pmZ?Fp{khMU^>a=N>){ND9*afIcP#B$v`CA-Gah~aw()D-iXEp}x`QwxhEYlEYB z3&Lg?{by(Q>Xs00Hias`9mU@Bot?QZRbQs0W?hk{JW*;!xOl_Gd z%)9zd-b-^WocrV%p&W=j(2}5ej0e`3aeWD;(E04`{UrJQNv(~6t?p_VfLaA$7gVl1 zEbn`)zkJez-%{0YJfy|`l;6c#IU;%NY=Ew*T69BN*6~8@G8=MH&fn0RJD~Wgoc&hh zTDH$G^4Z7_=G)U+-)fFg{nD#AaFH3;p!lY!n=6AD`35H*K|xJKZL;=lD1&8#kS!f) zE#9mx;el|F3)$Jt5ayEGb*zX2+3{wL#vB}%TVG`sA6uFXzdqF3f&g@l3W*leSd zALjBLH7)r)sMFM8k|_p7O+`ld%NoNaWS8NHcb?lQ_IAdNj+8gjIsKY}}7YSkF& zobC~CNiWPDo$1iiMk!$aCO&879%T(fd)D`4P&dsO>q}o*IEEJc&)lPC+49?GGOk8q zX3p6$31xF_ejA&eIWe$$}d?VW?OD#UerXJ^9T#+zR@ zxMCXXYaq%u%Ogx<6XlFIi57Bq#x?o1-sEa_bdIH}XI2Q!nto~fm*icw84_N>&7@BY{zH#yxo;OWzOAUIq=#OgMkEQ<<}NZ7d{@ zjHr2#g=nih%mM!ZRfVm;y%RRo+AhQ`V_g3L#SQI5l2~QDd2;LhNMA!*C4$v7~a0A+OHfMWwRexjQ0)0NY&YwB~&Ng2x*SH)Zc5!qDj zbLvO$Y0iKWJ3>C+3vFkJ=xRY4>boMnBWs3{S|a z_s};56RTx)6AvhhjvuPaJlDHLjhqz>&5v(C+K$WGZjrJudKV9<_^9?=vSFH)xIFQZ zamvm_lh45!rj_PGGkfGNchXhd=N}XMp9{!6#X}w|;*=>g;ul55R$=9B7TgB;ZLj^d z^v(SfzQwd#d-d|aLz5UiWZHIH7P33EpyO=0A)gKTtJ{goOLr$?7vbUTSObY@9vlI=>YhKdGk zeVbb=T`tMl^1pM#)xFMs{+|KZv(0(+KMh4O1>>E&R`Is;xBokj_;Hx=ln%lSk0KP}~W2R4-R?=zY`EB&{6)w=-m($YN6W*_9X0tZvZ)h5( zN!Ly{=Z?<^EW2_+zB^g|)L8PcRqpJp;uYaWzNuRAD>(I=*oo}WEUtTQu;qJeblwr;b)dwvSrpgfa~*Cnex*MEFVId7RXGg?eT&KqT#w4k*XDc;vfRb1YB~I)(BB@0K9$1GHj*hbYl4n; zMHC{U0QSb;i;$6fH1_u=Hv^)UKD!<)N4Z(U>0Ozi2hy+iqn{P@vhdMZAaqf`{dUHt$)_U;Md)*P;>?fjN6 z)gJ&WA;aXms@ZDlN!x%Mq|2Y8ys9t5aLPIHiJKDEuwS2=>h^n?7fC zri@~b@e6xJQw2Y6$(qB}YTbX*lidfphjLWA$Ts1Ez19yr#eM%74{& z!1BSF3vKGmzt$dseu(?r6;R79fY%S|fPUo}Z~>SAy|0?Vg4ccWkBjMB$`ds^!$Yw{ zD#2GAbg#2GEQ)sExB1HO}{-?i)S4ltTA0+!gk%R;t%m0_d$W z?dj#OMqM9b<$j&f%XclljFy7OM+LWbW<}BN>LF?DIXnIo?d&2c{aT2HoQtJq`j^$I ziMf0GJ{C*8Z5sCu3JM1X{P+IAs{a6`Ju>{&E^tyX&L@psr_VpQ1R%9 z{Ggpp&Tl82(c`;O0ekMo0c@57d`-Cly!=)I&_U*1e3Wm9Lg3(S8YS)B5hZ~c-C8?b zH#@9B(l@!US<3$a>njEB|H zo=MI&;csfEn?2Q3c$j++FtEJk$!v)pa8p;_mUDmOI=|k+oG^>{Cp5$!#|*=T!j`jQ zvkSF-3DEr1=%!<$mN!}PyjYKeWi@NXpS2}(#mA~L3bXoGBheu^vTv%qsQ&;+rTZW7 zJgsm3D)aP!o#J`{?M?1_*~46WJ)`PU(h+x4RlR|n_*_P+LvAbt5OcNEX2#Jojy82j zC^eD3J`NcVTO`&v7G=g<{Z+Ryk$$c$$mBwEcV^hN(2nKtO}Xs*P%LQ~+U2~~Lyy@~ zQ@bZOEYl<$j$^rBQ0|gBtku<)z9==goKAoRzZBE%2D9#tAEijv(AB-sFgj4$b8ylw z57C;dGVJQehR>yjYSSb(-Ni4(xc3`{+OL(>_2{2v?PfBMH%b(3U;<&`l=9~0ZijKNLW8)@|P`)mQ`^$g*TtMGq!HDVF4 z%|2M#DYlD8qp1zoxyni{p#!0rnqNl_(eNqhVi%I8X0e03l}kYw;HIN%1-pW)AJ4n( zPd(iax3avb{{Tp(`ycQ;tZn}Q?F;_^a3}oZ&=tGF**E?~)#Wuae@C^Xba`ov;``H{ z_isr&S?GYq13}HKO$}>U!gz2yL?pAA3$wGcKud|dSBd9a1YTrwVIsk-exBqW9c~^g z43Xk{x%VexBs=a*lEVzWVsyIRHMm;i+4QZ$-NeqPhe=eG)9yF(QB7aDmZHSP3?Z(I zUUHWn-W9TIwuJjHX3$el9F@C2e#spyZKp3dTn)%BV7PDw@3O1GRY5FO%$lO_9+j<< zm(fNWs4bnY%dmxZO|s$MOwKluP(;1#DhpYyvE-c@-y4YoaJ@A?Lepn3J1@=w&7*)M|pk(!?zBYBEE zF6y5Z2F3EaqCPMiN48!toLrkP;=4i6h1E}|s*enf{qI!4#KNCJUmb|}nZV(Zy`!c& zm$vY1sQ0hGx1&+;RgCs#ciO$^_@{VeysBx-(o&m$Z{T@VZ{=+IcWqDk=b)(j-J01S z=z&(?ZJD$wA(v0;pVdvXt%uZZWjFvamtEo9kY*AU+mg$oJ?=f$F}>aO zu=BF=nxt&{%Dt7ciycW+D{(xR#MiPb0p4*q@>?~#HR7Uer!3V?l*@9;M_X|j@9W)c z>Go-&Wo`;U(8`ZVC!i*$dO2EAVdAN&rH{#?`L<=NHkBle5wjeuBu3mWyO_(4EY50| zWo-GMEq2X`va-9)t-gGYJH`{PK*z3JC!+rVXHMy#6w~yS*UL>ER0k<(=gN<5>4`;h+?8bRRZuKUk+Tn-!J9i^)B?P!7_jkNlBWE3=)0^k?M{tyrQ6Pk^*XT>vhisW=I8$aNvdC-dJjcr!eLQ&5#U=0!)u;xXgBh2<=-h{!-|C{CP+riVe5q)kQtvdpBFR zzA5=+0kH~~$~c|1_WdK~hFzscPJ1Q0+(t=M3S^ z&)&8K=QlTLw#Vm2QHj|yPHDri^g~xmG>}gOq43QUtXkor3v*mq7nB=1G3Trq37PzB ze*TQYy_JAeyBZUxq^JP%N*?fO?-FGdTKV1hTxE6tk}ExUeLf6ww(Y=-Zy{#X4&%qvsy4Ni{J}NNUk2&8$*S+{K+2>HIec7)j;2#$1l1c9o zec6HAc*9avej{L$#^1bu7G5`)oaC`@*8z8#+zpC3HBM+SARaymZSu z&6^ZtxT>0`l5xs%?pwHqRN~(BP(W*T=)9~M{9P~F{{Vqj>subnr9a)b+@#OePU!Ae z>?rKYhlf>c=3%qFXt^z+MW>tByf)s>qv~Bjaq^ZkkR4WNfVM#Eb%-+79jhj_*LPMl z8)DOkns~+m0UNhbsaS7@`q^fFrK${#rNzgPRI$28`Qzgzs+rK1?)x+5n=L%AjzQRR z`Vfa}P>eW*=c8x``#*(~jTY1pIB3b;g8u;3cmDt-KH<>I6hEgIb4c-KC2-0{<-#SY z-QW94OGirG)r+xI_M_)DRP@caTXg+) zmbTe-hV8b0+?h`mprwx{Qp9Wre~M$>$mZLkI}cC%+S+qlW^HMIHGAEZH9Wn})9C2c zd4x{%wLcgE^;Jbf`E@)|c_!~SR{Cz5dscqVOUl3KDYEywr&WB;J%>(yw|)uyxRF*W z*IpW`dazFG78-_juJurTf+N zHuXZi?n%fag}VJn-!snZGs{I`n#EN+B4c$I;`$crWl}n#vSVXB(b=qTEIsN<>f<1= z%0~>m5%qJkQPi}a9!s*_>w;-x{)zTOceE>mo1ycfjxDLQ9gADmJMl%*&oqwhe1xr5 zsIu8Uk^cZyldLkbc( zMmV+2b=q2OVySAUkUMD6dL5)W;~G2q!!ns+} zu^Zbo!jE`fRptKxSEkS2?}1Em0p3r+pY`6P?*hEYtbTk3PjUPC`#mccUbiFLAkYCkMSAWUKe~rwsb5 z%CTXUJulktfwLd&c|Ona9;ES2??D|0V&m0B#>UpUfZ~&x@l9~#RBvxKTr0TsU71IS zYs?}J7~9^mE|lM^8&g|PNa1tBLrE)pRy^J-*t)6MN6?!t*xOL=apw#V#gfCZwm+RY zwzRVz%~RP;Cz!zV@pS!*^NHn|!$TyOTK?strER@u=YNt>$f{>^b}YI=ic#w1;-YkK zxuU3!R{g7C`A1C{4i;q9Eau1Qe|mVteH)ND>7T%B+Vb2k)D$J2Qz5q$jykQ__?Wb^ z&i4rN$!DHP*=U{fSCx8x^`+(H ztR0ysntHN=$9Sskj^YhPJWq^b4g6)(ZP8z8q>?n@jkQgx(+EMY(?Bo-B zNaDIYz-~gzHj9qM&n;AhX=HpCl`ZX{rZ)bwz6X=f{{X=q{vlsDrtc?hGi{*aa=oOP z-z?ZHFvA>eKgF`hiEKM=Zmh`VmB*{dCWxt=!FytB6J57d9SqUkG^taN~LG8LRI1W42dWdU;7bj21@CV{Pqfu*JeV zRnC77_BJa#)>(9b7CZycZ0THY+DIRHM?ki7Y(Gi&d<%A`@fP5IIPJ`-XkVN=Qi|Yw zw*JMn>7i+C6)qJtxpIELUDkR$AVBx@4^^dP0dZT|FOB_M=(?e<11l@&O1ptwVPmD! z+HxhOI4>!M_1SR#x%eJUAN(Fn{{Yq?U7!eMi2RjmYDr&hTpF)DvB1zcu{%Y2nx8wA z`jM;@R4`&Xxy(h7OXlqMVaZ-eMs*^`6)u%@lrRRy+_ z3~;o4YYiO>W_7+YX6lSli0R@!tT``9JB{_RSzN9bkPzNHHt3GRvl(<)-SHh*gW`4} z*so)%EPGBK<##pDhm@dws^j4DUgdMys&;y!c`UkG(b}r(9Lz4R+6MvcV+DuTi95kn zHM&!=toQV0t=$*M>u3}3$R~-8^m2yV5bd(UFLU0S4{l3|*IkQ*+dWmRGU~55aJB=&!sPd# zS#6$}O+|s(5Sklz7akN@AS@u}9I;4A@LDmyD6SER_XX(V z13qCF$(bt70CtkB=V4}n{>md!$;e3?yj#eo#0ZM3oK8CUEk2}1>>KI`PM>GC$5&IG zJ;~5iM(8GPvAkxwxmk7_aIV{Ovd9kw$adLxEjen1ubLdzYr!`N#Baho*3Q}O(w32} zch2>`yQh+LYT7k-zV@x;U$W}=3d+s7Cy+wWn3#QO7UAyW3~Qr!$u@P{{Ru61IZWm$5ua44+Jo_#48tI zsb^H5Szt4fZ)LVzPcnFw)$l7I74ZE_Gy|<`rxBRGmRV?;_}=C@r-Zbq={Dm`(PSG` z(Z)P`Q)(z`VyTFYSak7LbAF`l+ z2xJxXAfm0VT>5g$xop-*(C8s^rj!xv3y-eLRWohYh=o&4B^6M6Cva{UNe4W$Lez$x zhu51gsRLOglAdf}us}sh#@kbeG%~ljY|5zL7@RrL%^of*Y7M@WGsx(UtNu@Xq>vX% zHg}m-NO^(OKiw#IIWxyI+=df;^Lo=Kg^JmGUC7wl{i@fymv<2j&%C++&5_A#SVb$d4BdOnI>T)rVpL8{GkH?V>!88t^RpIsgj0YRC=U=qZWp z)!%nTj*A^TaVI_S++Jd?f0H$B%w>`j6e zlKBmSY!I^)n=@udG$3+(M~nU>TsgMshwDMi>i4MIaon-@aI9ThY88ORk3`ralhepO zF=^0tF7m$=)0b#feftJq*Jr@;QU1=*sQo~rSf#9{fZ^)B*ZUS9IbqMOVxf>!MJ_De z6fWi7oZv7AJE}PZ=d$~}M%UyOyL3cxSZIe2JxZ~@Bg>M>w#zcCs4tQlv* zjuwZWTnNJq&7^C!Ft*P6Q>Ce%NuKk>0INV$zvsIBCfcf5p8h9FT3A-o*%I09Yo(6? z_FmuGRZSzt87S(ciR0#*C$q5RoZfHN=r zb0k@om5Mrf@S(q@oxS(unI$$O4joHur|;Mk{H8NN+$T3mZW;AFMQS?0@tSsJRsg2NTwO4IssnvgFy=3lhhp4QVc%vR>s?++9DDAwb*-jr z0P3lY&RYh5)@Q)-edpBMhUdmIx%VR+BJOUkvc9M-yfwLwM~E2@2Twdl5RExK=o^M*HwNs_$%W zd%1>TD#H^jJW;V}vF%x~tif3>)t@d!I(IlUM4ut`otJ{qX>S$R_XKc{?HeZVWovTv zP-}3xz-I88+)W>1!^AS+Ei1K;7Z`^$%V>47YyG`F%VqI?`J#Id(SNyBhFBV!8e&g= ziduJ(jwcqn-Ke*b!^K**bx2#>0yOZQR)@~qn z1(V(Mq3wFXR1VF7KkKvLY`6Oxd7r4{_k4~Xm$v*iPsw|g?`w7@!B#;2E@g;uv)g8f z_FF3y+mpe_tVH4Dh7qoWtgI}9ap~4KPcFlZw}(9tyRl@2@jJC-&kL@gR=~@6ESKWC zjQ6#CTz^9NwtWbJq4iM0K8B-d2=G_lU&UMq&$(w5e?ray?kM7fj{>xNP5V|KIdHy# z$~VOQ;bXIK3i{iUrM58VG~>BjE^050rqdRRvZu-keBOFzj8TmXTcWI(+SAHS?WSnC z$9D*K9K{-H7U7I_pX)hYWvU)Irn{B;W$f)!{{Z+I{{UH^0kUDZrrS_C-Uj3EP9D;+ z7isFbXjV6B?nsYl*){M}zEDS~hkysm9~JRn z_^ul?1=lM#6|e4s#>+lSF>`fuWpSs#)nN}d?7A;(c(Tsvqf8CaOS*ZEXq%IoY*CU) zWP9DKp6imIBKW{D9&TS4&vTX?{7ta+o}Ip}W$l(+(<&Sr=G9Jq;lcOnn;VWuu;@ms z2yQ#|aI8DB&eG+Cb-9&UC~piq4qLmk6Ps_p;B8%m~Ls*RVmY2Qs% zO!5eD$=&0*07fCo;dMuix&U@)20C{9*%0EGi|59yH@3g=i%Bp2Dj1Bw9=E1ImP$qQ z^o!ypx&C=a6wY-lM&?Q6lHdRqY?O=T=@(LKe@pupQf~hMOZyPCbvM5kr2UH~RA2f; zaS8XFttLsEqf}f+79tVFzR2=&4fOmvW)~2szbi;T1;M|=e_6uotv;Q~=1Pm-Od(ya zslT~?pSv)%sJCVyzfW)J2jHxH;J3O#_#<&pPkf{BPP-=V^orPI{@MgZE*`@w_?MSo zUFsj1xSrklQpW@sccUUr$Uw^gE^ehcjca3$cJVN2?ow}JYuw|&;&eb@X=voKiLD&7 zUl(tBz5)HE)Nl5d4y$__Re}1}t0#D7nMt#$Dl4j9>oOW>@f7N+_K(YZQJ~ga^ljwN zgKf34X=`nx-yab7thPka{L1-16^HU&OS|2)B>Yzn%BUaz03j#hzk=#N-{kyNhRvxT z`S<=S2&1fLduyDpyV?f+)oENX)%)ulz9y?L^S2@BYHj|KWuf1r`|mz`^aG@;E(2Za z995s~m&R6qwpTLN`=$MeaaErgQ6^f;ooSJ&*I{e)f>xnVrk`qt9oja&_sRb2hx?U(By=Mnb=MH|Z*iYEVVHpqJ54 z81*FNed?97v9iWq82hb{TTw^6*p>2&#{AotS-(P#XtqTg;+`<(I|m}O*wknJQqN)0 zf3aEY4W92BaDo2-o0~5G0O+BA(Y=xW{|e1QmWxlRY4vm;!EFthRq-_x z?&1SBxM)YcOG$i8B@x*@csAQ0x(Qxr^O}uL%Z6o; zOOtC27bZx+V#^VMIBMLgyM8n%=ka+J4Xys3# zKwUG@7mjx}E?kK8qauaLWWCb9$Q~Eo>J~J z>ZXBr%wn``)D_0%jE#g?-cE>SPBosHB>?E|cr6p^XZBW%VkifgA$v}t4fEC1dAWA2 z3(^^{Fmh(=JU=s={{R(yW*?|bk{-)|Y(`BQ$aJ+uCMnL3EM3tX3dc0YPL2%o#mg&z z0B7oO0vNaDfTF^(UmT|L#q4L)J0=-kZwa=!kSmAd5Aea2Jj&QWr#rul08``N4AF!| zMb*M`B6r9Xn{%%x+_?|l1M>-B?rh@Fiq;AHO(>ACe_g>3?tV%0h<&yqmyN`;TZ;WV zWwQC)au`qwdX6*{P0{c`CgXtQb~V%;$!GmiX_jpF)#ZPPb%?MXD~wE=T4>Grm_0d$ zn0Eshsc72%W-yZnK5@)Zd8ZFzK;}tA@gg?WsaHw$tq_A-bg0 zu2)uNeqyqe3*qi;hC*8}J_ytz-X0DQGe?>ttIQ0-$JOOe1V9flae@wl_`G)yWinJ@ znsyL5#OhKn@Ii%;)W+`R&}Gsi!s1xc1|Ols(KAN;QK*AsWBw;wMR_Hj478xug{ot# z#1!d&fsm-lGRzK_eUHpRc*!|W$tx)86L9f~cVP>tlzN2VlmOCjlIv3ym;PYXfl4_& z=1>69RD4_m$5d$hmg*f|56^-KnI+l&W%Mi4{;|$lVCMYCXS^dbO5c{#23QPd1m%T7 zdyVrC5vp)ng;-v&+{ACJ#jj6yKa@@9$~=oaOYRJNz_=DEpFKyu@&G+4094+>g6UsR zv#Xj!C{%rJRL9~eWbbJxJhequ@#-*#)rJ%KWd|;!`K%`lvzRQ6!zvybZnMN^(jK9y z?6MK-LcA)ene4t1QSWg)w#=+^x@QBY0MX$;Bqx0VWqorCJv0XgN;$^;%*mu_z8^RB z9W6Yds)tF9^s#=MV}hMho4UB<(H6wdaT#r%Gd2Qpt~%9ug1`1hZG#dSh*B z$w2V}U-?9b!H5w{;RD`&uKxgJpj);r0K-vK_E%ZxJ|;Uu1BRg$+u@zRF#46)jkPZ7 zqd<**+;stuwQbSjUYv$@cQ;kU*}L{SMfdyOKjiq9PuwGvyV;NG3NI|4&SynY((ONU zOT>u`{hT`?dm*V8{2-a`2)QIzfR@H}rT+je=C{~YJ75>;A5P>xA#6KvYpC*J_bbM9 zE%{L=lpFMqt4#^7yDYL1)*l0ncPN`b0WF|5P+-*}^?tqx)iKFGHy6Lg8@vWjQwDoH z!41Ayp3?yIxmrDWL8R#+Tj2GXn~-w1)v%KXz~(xJwbP|iEQJX?krys@oOw}lY;Et zQRMz+0VpiAKTt%v?uD-cZTAnz?W5+v6V4#;&fGE_HMchnUMPn+=VDRnBs?Bz811=s zaUP?!hxdX43v`=mh8?rS*rqgcd$a!l3m5e->;C{QDkDLWl!Ht9Kx1&vtiZ911Xs^Q zsvAKR-Uss*=y@(>IYKtwINgbx6HEtuFH9+f);jiIzof}6r^Ltjb1dkBXBwM$gU{{{ z?s+N>itpK*KO8={#6kmO0O`WLH&7CG-wV2xG{VP=a?cSwRZc$KkfWpi-glb5?gpTfhVwtnKGB*oEjYs(UxUrL$w z`+#^$HsHMH@|!soli$?3{{TB$wb&r#DMFiAywC;#`Cx|-Zj1O5&k-Cm2VP^w)S7@K zVVXLCCFxw;1x>odQw1rhT0aTjtHcKD*%z99N3Wel$#WEW69#-+{t?Ws)=?HRji@7O>H7Uu{n>&&5xiqyR%dL9-STG^a;%)Cuj z31m2b(Q>VQ)ZDDucUz#S2dtfK$EM4e=nd6H`o!42YE9J&Y{LBSP}QY2uep;w^BqOQ zb+5IRjg0037hOh*g}WArU8;^sfM%B zqi++<#tGawDk9ueX@@+-=Oyz|$jv&7TG}+~9IvFpHUg22@(SBN^NqfIN*X++DN8_% zO)2pJ1x#?qk&jZx=92#a65tCfg*mVAjfe%&VcTrSI1JPKd1cIpI|pgYE{H;FH}H-R z)-Po8zf9(Pl{2rHn_}xCianQ)seR#9*c+}-S%yzl`%}zTr+YU$E$Ta{&xx7*#H`=M zW@6iF#xz=X9d(Rggh)GAoDcT7)Vmc+zz@AY>Wjg2w`%<2{$>*XDUXgCGsr0W<}joh z+&4LzIhBT_fFl`^`>4*{{1PxMxJ0H4f4PzzW&=<>>e!&<&VE(lonxUvtX zv(sViY%{037Se}n0|#=tkHc#BBR+7R-vd?DH#2PTqZuZ5X)6wS$sv_ zWvAr0na?m9W+qK3e$Y$=0WxzmcKq&G7+VlBf7z6FJX7&qt@pU{pNAZt&$Okw}US6OI0S-`dzC0oxQd-gP*{C5AV)E)6-16(+b3aR8Nf8aOdG zZ*vy8L1l3^iP`2`HwQRi2yZ^TkaiP0U{?Y!@MdTXMZYx@ZAcGpT?#3mof_2o$6nyjB^uI8mAo*AcA2s^kYT)LCX2WtM{% zp5xas#l;^yO_cp2+Ik6Wx#6CE&W8l=5npGx=ghcQ{xHEx%}~X9akyS8wN-Dwc86Gm z9Xfs7p=^qy!Zn^rV}$W20Mm5LsBP6p4KYyGYXYS<2kMkH!KrI%Ym}mn9I~5I@|{wX z`WLys2i&-@E2}g25z$4Pwl~y^;WbppQ+LE1Yvy{6vzdjr+5pg$^HXpm>U@l`f%Flb z>C_Jl$Om~I=7xnnaE)A#q6StGG|Pc!7jAn0`@>j=GAu zW~yAjVs~H{C|0=aFYDDoe2T(Wm;nxTECI`0%Ze3F;;b~JA=!DGgQj80(c)mp@06hY z%08S0axb--aBH_8e9(Nw0P6tscs20{US^--EZDV%ScR2%c+5*C++1;acElKD`NYRz zTo!>}JPE(3;r=a~AX|#84y+ZU>fy(yJ{UOnEu^h6^{BpIHO2t00?_nn zhp|a2bn@rD1!#v@|H02OpYRu z*rJ@j#JwIvI_=V1># zWPIZl{KPvP$Bn@nE9Iun821+KhiizQvb75Z7gL6QWpHBnovuCb#+^*M1ddfq?j^2O zE=WrX=;HdDbVtz=`lHNH{awhgoQoIuFX9a<3Rw~7SU$n{CiodEZ~aP11AtxmJA_#F zS$|Btxn7JK$TFzGaBZYc1lB(ms$_&_lLn^J)2?3JYmt)B?op2|0b-CG)AT%_>L8zYsNKN!=+ z;i!mX7jweJ{{Ur6-ovJ6Fs#;RC&Ln#4Re^RHJ>n(8YW<-DivxjkftK^oakYVj%opo z$Ne$kQq0*FJi*feSCjrsOq{wbf4TUcT0mZpHwGd<{wAVTi$S0Lh+GM>(2O_uxL5SJ zFXWoBX@T<=nyW^Ongf9^{Fvl6lZPhfw%Kedn7f2XVo}8x zr+E?&m@`9b;#;WY@xq2Uyu9$INO;Ny1<{q5&MdQx)0w&-a_1`4?Q^16<>o1GQ&45# z0r^uHG4Q06gS*X~d`g1_2Ly^{_td+inV*U-%3@qJ%AZ5C9!%zYL$GL6&9;Mku9Eii zikEo~IEuSCm+NBsM&Sj3>zF5ikHK9&j73zwc$Js@c!CcTk%qDL4vA`~R>A(<2(%5{ zQR)YctE?6O0N7YFbVT_0XT37DMlYSsZC{dM*1s^@=GWQm)H30!3Yg#bEFEPfp=G^P ztGcGKj$uRL7#|tLUMW?JVvg5K4CFbpp^Hpv0=gMq(pl0P4TENi(N*WaGeQJmiNLf| zzqq3DeXV)zU*ahZqg*!So>S@p3hWU#hYQtQ*+}!k_<$`uArYZd%t6ZLCFZx8RTjsI ze^36BXU9NaffP`=xAwq3fIp1Bw_X1L8V!71<^0clJ`2m-1}}p$>U{Ye6GA1u*~G?z z>vu0$ExeM~!HwooQH`BV!wty8Go?(Yef{)UQ(IVfF%9#?c^Ioww{`HCU|a&RAJqDa zWuyN9)=pkM#7;hFVz5f?fZi@1*+3k%No%X|{Yzw1y~TKm?25MSE-t}X2q&UAIo1p6 zw>GH1x3>6z z2`k6|)biA}ibg}PGur;*3q=6fQ1F*m0B8VoNSgCAdJR#8(3z4)ct*R5GsbEvyYY!m z^Aw~w=QzaHnx+p0v*tgE457^4y<#aU01>t>9$6y~1+I9iB`)e& z%nn?rs{K4hc4{Oqv0a_D9i1z-w)gm#^Pt&{dSJ**0YM!f!?|9H)!-#@zke`dymIjd zImwjfOj^K%y58T)RL-RW-B!F{@Jlku@~)Wr!wTXJJ{w!sN|ibXAsY&wYSsby|Vro!M%*Tp@ab$Hi#N-d?#eGJTdxKq6R6;^B+{@uiwVhNc1-uzAa_qUh z5}8Z(mOrS1pV71OhD%p1*T2@dT7nU6)N*O^2f-Q*MB)0vFLdvPM@cE`Oy z2zi?>cl)u`Lpuu4i_kvdug}4XnIg4kRa-R&z1DHejJ@TBWu3@)MqL5mn3YRaQ9Ew{ zoN8Cp9DrCsp_LuOx0;V&1;(=sFAf0m@W--u=AKM@eeoJ%J2^t9#r#dv7J6fe$KECY zi>ES-XCxn(y5bu)1mNfJ!w5jS-GM}*`i#Q%7wTPc@ErIc95g?YF=}8bb-OOfga$zT zAkW1Zc+{#Kq~NMNzp)z^!lb~2z2GtM?xL8_u#4Idj+`%dFM)_a+qV1RwxbjYpI@eu6?5GKadrF)bn6=8$@nT_-BBBg-x)zTD=q;3OY z-z&w`T`J2;CAwAGS&d1I{rN4pVJH_46Db3fVJb?`t9{C7j$+P#KKrI#V>v;;L-WK~ z;|&KDDa&vk$YA1{{{RSN(z(R@J~e11~1 z1*2n|1?L6c;dL`XyVL>~PA;X6IG)QZfB2m>Nmi_8joMKzc< zMGVE4CP0dV%4qH)B2X!u`h{D1@LBM(P2vO zxkQ&aczkAC#+e*jh8YSySmD$tW_;X13RgOQqpob4h7tNtLffs%tc9tW1&<%pN}W?5 z)EEUpkahf!k4hpQ4}ZfbXrF;lJOKvBbQ5&bPGysd$^b+E^3sV^=NFaJi#tvAi(7>Er0TTYgfFjtx{#zarvfvFw4? zONfe#@XM$0KOSQ$DPkw+M(DK(!I(MhiSg=M?-oF=vkSGgdq^5SrQ<9ata+U74)}8m zv~WrlT(~_-R;r(VV7jf}Fxx^G+Orzt8o-+>VwX#Y^}$7MIdXxIUbPa};}xgx69yX_Vch8P0j3*)?>jDIf6QCU zEUX#jmg;pZ`Jc;~d<4u(N;{dLvS)~vw4(Ddpa%Z{S^niUm~ujipU3`2EM&#No41Rj zRZdAs?((T@(q+o*Cl=Qg<*)FTiXGF;Q$;9IQrS~u+FEp4n6MfpgQzxU^)(|LB{;y5 z#k!o{Dh5D$Gg7+%w-EJ^cBPaH&+#&bjaG&6er9T2ZKM33Lk7&d(N}Q;s*I|GOiNe zpOeKt*p=IO7lTv&FiF}EBz1^Y)Oiyn@K1Sx%mfI)SH5h3W0H{T^O+Xgp-4hmb4UXq zJ&zyi9Ah4*@q|$Aj4MkS^?=vMXec|(VZ8cNW7o1|3J7WekBT>}M$>B9jxs3JnikxS z40wp3$-90c2HU-h5`a&D#Bs1TqSBB19_dkh?b%F*ew8d-L)VEp-ii{)L0?ZC$ zpdm%vR3TXjSls29yyng!3SCS&BJ)tQ>@Z|=;yCt;3IZ$qCBIbQ9xe6djmOBAe;{Au z%xo%VMWCMwUYu~dEr50k=M$&I#|$zED(+)MK>+Qzo6vS0%R*Qkim1t)=ZLulpK*80 z1{PiB0GKG<$D;V$!nCBjxsQ~-5m+AtbvLF4@I2;SVq?5T9ng0k54Kx{+Z8F_<^?w! z&ce!3hy6hum#+vlIfi%MVaMQrG+_5N{L5;O{H2ot#Op35rmvW(z*y2t{E1{q%+mw#F)0v_WzX{lXF>wrF43`lH0tc|a=``CslMKQx!-u(+qd8VRYkZmC zaePo8tDYY;hO)j$B{PohHaA{yDPfg{6DyXn2A!8dIfMq9ZV;#j#qLh_)pG?|W%<1I zDWj$Uhnz!6ftaeg`MHNim4ptkW$JMC7+B%d7IH2cM)4aJcxy8D;NnybUGC+I;CCuJ zJSPU9_E%kXs)Cop3wW07`I&i2o%Zey998+8&K*Qj=Ay1WN~ULPX13hM#<=P$JR97| zdd827>LnwdM);k9lIJ+)TDH%aBYgEMoCf2r4vgNN2$X#cse4>rrsepJtqp7Mj#u z7S?dZ^hDJb(*hi`B2pDIEWjnJbLvprh^O;il~E2&QzPmL{l$n2p*+H!p5Pp0skSY? z$R6vctw|p2C|WD%;g~qG?kv9L?ATVX+{H3V@x*oi0KnDW;`0f&n2TO?O;YAI zV_&#{tni>aV)EqSj&HzkOk6>pm|&w#3HsZGMlH)sn_N> zZ-K4d^8(Az1&d)Lz%PTAw^LkHi}shTLZL`G9te|eAC{R_@YRDpqDozHl+#dYAwdI} zXtaG7oy~mrMY?|#4r=~5lys}q%YY*{Y+=&WcMQJ5h z?D6V9>RQ3VOub6hekCsJoyUfkR~~IdOYsf!oT_qBM>ygtjo(fq5gylmZcNI{pc1=UVjYi(jsX`D| zCX#qwCU3qY6SOyo?!QT9jt7Y4qFePU?|mSN$SSijOZ8f5){0`IikmE-en@c=LF{KKR%M@xHm!(so)`x4kzeJ|->LC9BQ!l0DLI}tKt&kt$ zaF+wl#FeMntSflO?guin?+VLE_IpD|Wd-g7e{k%x zh#Qs(R#;RqN+2&c? zV1ugoggh%*Zf#A~eK5cfR9Kh)i}y-EL($fs3MdCo1KMNxXH&jnAYpi98(dN4*hoIDBImmCCqC`<{_F2 zuTvGPTpd-{Hv$jXnJ)6Y!8JC*IHz9`djfF8s_uTLe9!FT&orE#q7drg@eukzr3{EU z9%Is-N~Gz(`|b)Q0?~xwi9lU$8PPAX=VyqAn7-ow0LKwH8PS$lw3uO7e{aM9+ryfz zR;a?A=uavt%bL@fQq>IoPI^kure#L5;}LIflkN!e^)N?HC!qj<*XkLu>zWD{4}GUH zP7@9OW+*6yd@J0iIagp-ZEq3qd8BRQE(wkWcY zU}j(yJbezUNpDd#IV!bFEf&hf2w=wcXzu`;Tb`mT0|MOLw2C!ua@}}leV0ZPHvX7S zZzplC`N+dOrb;>Mlgt<&A9^_mA14LJRcE28a0^?!gYJC8-Q~6fenfT)m(zuuryho( zYR6TkCm(u;WDkY3{gTGRH;Da0RhXj;+H#{H!TQh4!x<6)mK`$ zQF@JsEP(+phe&pVvR$tNnz%URH3I>l18hcW%GEwEm>e6vrsA2&csoaAQR8Gk33#$i z7G>_fiJhaT#KBe(fm2Kx@P1C0W3HY^*1_{OAQ`bw$=`fY@1vP!E_l^SWgjAdC1+=* zuu)%`PfDtLf`)`z`>yJeZoMumwR%{`b$tZnOYqt3;C6D`Cnmjm6FzU9BNWR zf!o3(S0%t}%%jTTR0I2$i%vP2C%xlI6#2RUuGhCZZHH>?3WANE{BZ=a)aEUdu!{CT znC4O{(hn_eMk50_($5*#g83mi9z$Mg(3~qorE*RQL7HU!;SA4{ zExbD)XzXGz(bFJ&x^Vl5Z^wulTs|fho5bN~xrI3FO+LSJ{0dZ(hU{wM-O8o+kuT6v!l4>?9iY+FT*O$2?3_=jQ~d3{T&SPZFt;*p_)CBkg*DiCu) zmLcZV4>l=Oe~ta7Ynh>~`y*X;PuhdHcc|X}~%5Ztm z9ehJ#9)Uua+bzq83ya4Qh0imLXYh&jD&UtsCE+Yj5qzL}gznL}2r-Hl*8*UhT2=Ce z8G+^$h1YV_8lP?;1%@kyAbrNSCLnhJvI@d-wEqCWwLDALUL|3N6sm)*YVGl_sIt?0 z4*nYwv9;>6^A4R#vW4zc8XAh;hCX;PnkskHvdiqVU)PzELo0I@m_RXg6%Xj|Qz5b7 zuD#3&LOH0g=UqXga4uERGHNJ@;e1~@(p1SS!-eIC<|2~GhnIvaJjP>ZMhr@&B~~k( z12k`$l~hx!^+WuUfHIQdjSQ&2gF^bYXufJyGk|E`Tw@57zi6_*a&IvXf#`R1cn<*< zc-04@QW!47)Lzpv`SYFS4Qc{pFEDisOWFOm_LVG#4Y_uz)qvoYY}4jfISVtWp|fVC zX&iM&@E4f494An!-J#V%yoW>P6FCT8UZtA}H@krCOY#iGjyh*CRgsp8R(ztKm(0(> zIpBGKuS-+V#+`U2sgjwUey?-#Qz-W8IXj`_Kc9)BYg{?sl$kJ2doTbS|AdzhXI&aRjjZ#Ace`>e!iYNpQ*)W>Gw+B$WtABYa2 zXH}365$*{}3gkKdA8VGhhCOi$bO)QJzZUK>ekt|zX$4xq3rWbf= z-nf2czYyK?;s)lxZh0?}haWI%vuMW+>(rrFYDOgvBI##iL0^4|Xf-o}hoZ254wnSE z>-@o^fnflMD%buhjX|@8eMAuli5On&*_tZ=GxS7n(SqE)7l54Yz@c?ewbNmPgL2O9 zs>|f(+ic#i6)#Umab;7s<)yHlvw!#+j=pAut4!7Z0OYvzc*`em?rLgXTAmm5HoX=!Ve%C?s|#i zx2t81F1zFp5Odd%cu4Ikac=WucDmJ0N*%;*UhCx zWtDL$Phh!q(-AQw(l;51Zx>mWq)|?fiJDZq_+~)>+pCr)j==XHs&)aF*^*nh?K4_I zlohO9=aOYo8fD~Mxr#a$L;_CQeRI3sJ@6~t#Mz+0Uy#I1D9*w-KUL&a6O>S|Kc zQvnqZ1iTdDVqhcis1mgi=HN5sP%|v?{%kBq;hiCVEc&bW3on7s6J!>v^$IoC?gQc| zV@upuP$fir8EyQwZRo}qZ&-qJ1EW%v*_hRf8LX;4$MY@2w9Z5R z@dR5zHYhO*ZsCuv7AL2<%rjWbM2hhWbo)LixvJHFW+fjqlz^2AjU`!qhnbuq$}T(i zk75uOyFQ>L>c22B4WG=BjiHHRQ^7tZkTZ97dNcWz9&nm_7jSulD~TT^dHxP%h!Fd4 zd+sJkRmBXZ?EU>m8&upD&R?j227LgoqnlK=Jxb_L3YnQ*{{ZA}TPL?s5<3n`B9Et- z+Ob`-zwk*TRK~3MgKQIFDynIiOPa`p=>sji#TX zZZR>BD70%V5>KS$@5Jd`D*57C@;FBYO63uaSlxF9Tb9X=SUjF)fO&`o+XdpdO+&%k zJ}vh+lNzaQul+~VzvfYAFx$tOv<5(i4L&m!;5i%Gzas^X{9yV9qTs7O;S7KP=AxV> zTRwG)nhPWI0!7tY5`z_pEa7eO5jeE^3`YhGjJ0J>cgUSWd4X1UA96S10nKLmAKbQy z^D~F_D)!r(Ke~2k_W_jr%iIXKuU$eknXC1dyH=C3P2Z#mQn)NJO;C#el>ar?O z62_JMOaj8#B?T>R3Z5}~nGM(8VY``4vxaJF7ez;lL$DYYSV@&I_*?sjh!5utGYTSE>el+lMT?M?XWCDMK&DCJi1E07$AV^F!SA}+<6xLXjxq;@F5 zIz7y;$#zt+mYtdVhz^Mn?QvK9roAkfS58O2Bh0|MKDj7}=x!{*LFAqoHg?PtH06RV*cv?ZWA-`4E z;#jH$^5^VXL;JRx07vdI1kmAr=s%;l8fpBJGGvJ0sM>c=*X1em{ z)NMB5oorGX=*o7`71e2Sp6F`l&*J728J(=w45Bsu;GKH#d7 z+k6Jc+-wzb7~(cb;x@o*KdbpBdlpCJmW*!7IGg}xi~5bW#e;%2}7gYe1H5RQ(`;Uevsn;=jaHql!W&UVG zH@+W;UrPw7`45hFPJ$U9S)T?n`woELvsgW2tiqZ zTyTXAwMk?0F;eMm8gfGWQ*$gphfo7zDl2PR)V?oMBGqz2tf-j~v=ha?JBdQB&F~Sq zbi0KBSondIT(*{5%duH)uadIh2fMI_5)O;pPm8h!4(U*uq!Cg1OS=^`uqd?GsF{_H z4kK_4%xcH+F39JTK{KPKZGVJoJCd-`ToWU)v2x3$ep86=_bD*E*(G z3zyB0^(k*r59p}ejCaE~9su_&4B_U8bG9Eh4*0dz<^KRtz$n(K0E#=c`IZDWuSdjd z#@0Dzo<~c>)&;XI6MPeyTh-CVrV19pFc8Qe-Qr;4Jl#Q6&b~`xw(L&EX#whaX1{zx zj79X|+F+o+M7Bx+fKPEczXnnwznBhM;Fj`YT&iXU=yekj+FErKH?~v-(y-M`{{ zILuuzN5#t&t@h=1T2;-3Ap~|KJ93ZI7nR21k5c$Cu{qqO4YJ^3gT%5ByI~U8A+mzo zpqAbWWlI-R{J;DvLWj_QPEs!b)^E{-Tbkbl3QZ_4~V- za9m@B700Oin~N!N(-UHmZ`cTpv^|k48g(9JAW{!xvn657vTh5<2+b_~Kp90gs){ec zxne7Lf`$hae&a$6$QP+akZ=YP(`o1~Qkv+KMlaJQ3W%Bvz2f+sA$HOjGck4F=!^k$ zY@{(SB<^G)Rj>|N5L**5!)^(dCPLMTn;_|%B3_xheak+O*qC&vMbRiROu2X*4-l@y zbCft`C{b%2&5o=q46A#$m`cF5yj)d_QGO*~SgaVmv!T_GSuMlvF;ln@n4YFllb>$9x?8&SYMVN}b~clxBICh!hSrPMOBi z9WDixeKQKv6VJcI0Gi#xGypE(S6S~yWHe4UD1p2ZD|o!k1BTOPrGaAE8#BoWsGWYF z%x9okr*|9~g9$4EoL`75Wqa0DLuioJO_A$N!zfz6RZKqDtFSwkrZ;nOdQgY|LnXOx1C-y4gwvlSO0b~7cHEW>bcJU}O?WIO5&Xg;B; zWosoJ&O|OH2*G=TfEoreKn+r(i%!U|Ghyc6VTCa%poY~wiGnt*mAF0`oq?`B$GEQM z`Ai~lNy2#@&4JcJC<`p^Tb_dnYwNYPGL(Ms^7B!L`Xf8cqGI#-=teK6z#Km-xZpY{ zTtvq$>sgf#8At$VIWAO1Nv<{*zT9dMvkwobxDHnuLEH_oe-XY<6vx)(Ax)a$#}Htp zl|!J))gD+id{jT?Wj7b34T@86LP`OWt@Tk#0=q}`19VwHeJWv(Dgb<9VJv9J{Y$Y0 zn{#i8X~kRQL69S`Q|c>q&M~wJvU&-_Qzvh!wv=+C_=U#L`C?l^#g~!->|6+3!Ye`z z%J8N?6Jk*3w92w(<22DZM8Gh)bTFS1xAYj0Ov#(`DF8Fkd>{&f=pB$Do+-pKbb#fw z1~|x#*%!*Devc;MML^k5SPTX^gbpn@E@S|Cah8N(UA}8yG28oDUQO|N^F5c$b(u<^ z;RCug^-;{Qvf%|wnnL$q_)sFc0<*FbnBmLt!ZwXspP7P6Xs0TV-cbM=?T7x89a;YX z8;e|YIOB%J{{RfcXevL>;kjG?0Ph_@U7OF|XZnRwX_dcZ$Nqu*sv>-k{s~%@<&*f8 z>SKocbub#WU-J&&G5!*zu;?M0KK37~mV;yfSK?K$U--l~E7E@YgcgS;f5a8#bXN>z zuP9d%EsIK4{Ll9a&-(*1fps!5e&Cd{ni2Wp3R}ea`<6U6cW3T5N-ZdP^$*E9MBJ|u z{@unEo7%Eh5F2c){mUMc4a^#Ec*51v-cu|Ew=Xd>SAW$ujXbnv&c)A3{{YTG>bj|q z0LB*@hb+PK3f>^pAL?E?pwzuiS(W}H=z?5+CfZ&#Q^z`s_eM~qS2r?5rxh7Q)IJQjWo-> zqDM8TLi4y5r1cj5lVMClpA4Cc3}M+(39vDh)$YEZ3hjk2UQnr8p+5ij#0RRF30{{R35Ekx; zl>9y_kYpOed!>joP-D8j?J_z&V0M+C3`#WFYEF^AWd8tX@g{^UU!L9_Y%oPfVPy+I zBgpMP&MW|z2883Y42Hd;gDjs&?q`re3?qp5$v~Cj`DZf`1Xly;DXFELtx*`^D#x7M zde?#`L5s!3M81jym1-}&C?{jYs2K5ZtU8p3$CY?oIN5bFATqIFbrT)Wu@6^z6S4}Be0mar{{a3q zsU!&mPUrf1Md;hCEmCV8u#`B-yo6mr0L7(ivKU0sgqS-yu63E1iGc=7Wd8s{t-%92 zFkXz`5F%e07}yJHGLD-J8)@774j*gI4md3p5&r;^3{Vrc1AIG9HP`m zc2X89bm}$DK(Mk~(q3oQQ9Eq`)T&}5$9ckj`2Vn|36z?etI-EG&-P^WRt zB&RvnHB|80#=LepTXwKG03-R@?sd9ceod};ol&sPCvQs1RYDe^ZIagjl>AIXtL$3z zb%+)pUsi`{2o~Xz&NKh!`&p&T5`6m^LTICxUDL#%j!+LVf0}Gl)j_ zeJIllQ4J*{hP>oVnjQIl;XvG{Mkj0^QA<2>`qZjE?Ydic_U=Lqx=A8#$izpd{EO(6 z4=p0NfYpavowiSwk_DqCutUe!#u2K4h<6{#qY`@%#iIn{-3TxzbA^sV5%Cv=L;ji? zp|{n^1ga>9xprWJ*_KH81on`?(3W}2XEg|3B+#vSc@5uKF7~5uky{#(=Cn(T@byPJ zG_}6A<{#+JWFENJsgGlc!7Mb(40$61WL0V*%T!t)!(q!l3P?3a382YQe-VI&c)Y#- zdbg~E6u7UPOscoeQ-zKy>EQkf*S?So5jbgRJ(njuoTz@>WfGKfg!?(8?mtTJ2>b#1 zS2y`O_k<*bxU&2w{{U>knnXX%`M?xGgy##h_nw_p0iEa-dbnf$23#u?Fgx0{4OW}? z`>ym6bx3@|1rtby87zz#Iy9a9pbV16WztoSjI-|?`@`!a%bGg5peCVrAVV(dy~|H9 zr7EF=@eBQfty@b>_Mu)7O`u8-`^8<74()i}iJmZ0Nrr}&7(2aawtczEuPOu`XhqLn z>S4EM;ShJ?EQgL8IUZ6_oKl^rYe@5J_qBMqa^cSwjsAd(tUFB0v3r5hHQAQ>?7$nc znIbDt7^6l_xfGU+`iC=x6!fU7$A5sQ!6lZxID zFya3Nh8bRZ#n(>%2N-?aS$FJY%=9@qC79 z(IeNdNqT#aAGt*#R_!X5Qd?!S?z0b6KprMJkm}Cys5N}2B2Tbz?N-bOknLF4;H))= z5@rHFF5=D>_Y?3hHtTck`F+7f%Scyi>wtABus{7ic>0qX9be{9dmS!g{O`0Z(xZ|c z=68B;4kL@|GS!cLdyVc}gZ5Tf#@b$C9)LaV_{^;60>!_2`X=;jQp$2Xm5=96C9E@T zBxni{JjpMr&C4mKZ`j|=%9f|EtGf8*O~WTlP30VtN7$$>4@JTZ2SeLZF)F`!L1Ud| zeuR`XbBhiHTYHL;$A|oM7QJBN@6I$IZS7>7f#_HEg;Kf1%f8g{>|eCelw}bL%sS75 zR)YKV{sHdp6Y*K}kJ6E5phFazZl_suCyN0|5PyqEKq5x@A%|>K919v#b6kO`niiI> z{DNBF`e>(|p5<*h-ogT3d*vqs__qmJZdt=|Yzrkr<4a`|$UaG8#jQema8IGdX-GZ1 ziRMQn)q+WTgn%X%JxOHNcalDrt>8F2gDm)I(!c=43&0=m>urU5ly7@@i1$rZ!0Y@d zI0r3Sgppw@Bl$uPv;X7#()wIxxrQ{U~Qu4_VSMHes zSE}k_D{!F56c>vz7IBz6v+m)HlD)By7Q~!G&`9T57>CjQaLcTsrdD6;r^OgkfeAx9 zgbl!P2!n7P>n#zkRiCN;T9M3DvfLtE3(Htf#j(RI#9M`>Yt(PYtuw-)fsDJYU2|4h zeuY=ps++EjlnTmrdXRx*BIx+zD=Y7T3lUGsNf_)XX&i0NbMV=kw`6=UoXfakf$He7 ztbQ}6Z=s4$%hcL}#_A9vRWJB7>;EYR$z0iqyZx^i-9+Er`ai&ooNP^QKx5qrT z`(mr-i$c+GsTx)^U~$3Lvffy?=CCqP_qYU9(s#IuOsivGZeC+o86efEy#Cc{^7 z>v56weaccWmK|RmFvUkT(~if7gNB*@^9LBv zHjhdHJv*o*&l2}QA_x7Om?ZJ63g-CO^{(*vQri*U=4|;kn%Cz_hjvBlt`6hOQ8!}a zpKIY4*5d>#{{Vakn;-Mm6!`-?EJQXbO(j2<{#;St+S<&lk%m}t6>*>>l5X-yZp!Iv z-;Oj^qW02=Gc|FcRMyiJst_Pf)}9ah4t=D~)uUuAXk6`}TY(JJ=kEi{?8Y?{dn)vA zn{Ax*?wHi32@RSW&r1}ZhR%@yt2 zYDr~hd>ynh=1M--{QQ%S{rAZJ>Vs@)l^*-wN1_4Xb;f5k>wyeHfAaAC<%RC~-D@!# z23g{Jv0i!ip6d1Po7rb5Y+K#$gi=JhTAxbl@sn{DcP#pcVE0j;Ut7M(Qyb-0tSDHaZR3MxJATYm zP}2@Sm&t;uL|&pX{1<5?ld;|S{diXjB|Wmt0-{#8@(tX~uHT6nk&O-{CZAx7K;{*u zywSn^lxur^pPjJ?uX{t`R3K4xvP{Coq?pSsfzg$&b4M)E5pm71N5>yRk@1U}wmvG(=qo z_auqM+BSamL|(hUz}GvfBuLyn)|Gw6k&+G?r<$sh$}^{1B9S-G+pA_H;kf+UfV)(k z!!W3`aROQ&jk&c530_SGXiiPyzTb?&r9IRPHg#=1p5M;RJ5V2eis&>Zv^8elP3MU3E*ehCN2XCh|JMp zKy||O=er=tz9gxB1*$48&EP!0iIMXV)NwJEYE97Jk^12buOVkB$*%}Ll<;+Lr-EX+ zlO8d7J=UEtXO4G${8@WNIdshY?IDw(owIl3Zt`57gQs0i{NHo=Y#&Uzltis<$1Gc?wBjy8BD1t1sBU*BeZBTl$s>a6F)3gkw;M$*vf<E)$Q=`oIFw+HCTq36e_A2XNzFpS6zE*Gl9kEG zj@CEZ+EJ8%8|0?D?~R)}tMMc^O;MVcNCBnaDxeyfXPoKNEHXjt3~U{^(_}dF-ERB1 zhU;L&B4^WO`lE#dw~3mNTU&Wh2%tjLvJd@{J(W7G2k;I{v0g zHoD-{l20hfH(;SDk>mth5R+r0-dWp{N<`97Ykie>c#?CA=bCo=-6Nlv+fYPKzAYv-1%1{ET5Fr6tLa_9t1pF)6KvQ#Gt2ZG z5B1i+c^^9`p_dLv+vlUO#(X1(?jZxzXv%4#O&Dr87wnsuyZ8N^Z8tUj{98+HLxvfo zC4#pWI%|QL+`6xs*|!sNXeg;t>D|2UwahqdnLD77QjTj*Z4NuQzzeS{&ax1fe7!fT z#mwu_=S3V@y?JNq$>?k(Kt}!WS|~ikGSAaN66flpD~ltW#eMocv4?7#qW}R#{t$Cq zSAiphf%6XjWARpXr_$zjFr4mIKvym_{_C`UIMgV6cEWOmWiDjyir`AYH|T3J7xC7@ zdw5xrM)un$F1m+^SH}|!{n_Mu1BcloTfnG4za3vVG@k51*@dfzm;K3gRt=T+7;qh5 zPMKP)wh&k#=*~GhU)t>V_oqr(JSI;D>GusqvWTBBOxE~_9j2p_RbWJw{q0VsA_g&k z@u0|7hkmoMh-Z5@@IG4Q9m@t6xZD1@FplRi@G@lqz+Vfr`wiO=`hU`7Og;wLn+G~6C~b4jz60G zrMmC+WlIIF8=&^{WSaZ9S>^b(-zX5(m79h!UA5&t5UIC+!GgC3g_;F04yvd;X{;6B zhk@_I!RP)_7zttVXfN;LViT-H&t);Di1!IA0cGF9)vD73{<2nJRIyv4ZHnr9`41Hl zPFfVE<`l8uUdc96_*B^_VNO1o9cop@rRW-=7ghN_RNU8}ZH8=CHaP)Lq?vy89Ui|F zMf*NmV|66WO^gD4gp!}X`~$e8N%)A@ZB=G0xJKQXx)5$1)ms>fDy{km7Ag-2uurx_ zsrWCYzk;JePO z*%Y_H-9JDd+DC`yE?y|Kbg1Z0oB+vra^dw?d_UrF6as|}XF%|7RhKCU3DXE&d{3l$ z6-{^az*&l1?A)jBDy#)Z?77Rn|0FJ*1((fW5I=nLq}a(j`D&-t`njvF8Wm9<7$P0+ zO#q(@0B)_xc6t8;_+v`M-Kz7`cd;yxAfd5z3AB||w_$6ZL4b(d==xbV(Qs=dZ@*n* zRfZVfn(rcpdz!d-#`7le3RsEnt$L(gm(y!AS1`l*EMBDMCZ+{&QidG$_$}~O{`=2E z!_rp)dpWlL!^_J$S~Y_!`?`$ly{l{N`>X1?h8Xc*WCpbVbB11nGN>d`U7~+J@@O#+ zz)rY6Y}Hq=p*dG)S4%fi&|&U6tlH2zfo+~jg>3g7fJL(d6=^f_5i zl@3Vwo#ZA&cGBgryiQlm*`IZo^r2-3&3dTly_~uIfQnwXoomiH^qt$CET8qj0si?M zyO#H&$EXgCM>l5$KiV0my_uuxsIUo#W{iyz19Qo+mGlu` zb{U!3+k12JPy^f_il6g*U2eqx*ms#AApPQ37~GnzNDpCQGIhLt7hjQd#|50jcrpn> z)Ael+!<{`2XBDCF`!RjJF{^dUp+3M4pQH;|NOP&kk9Rt->o_8^?j!u6rIcnc(J<5tPH?CL1MT67_nh=ORqlaFr0O_c5o);oG z+V%#DShD%Iu523_ENDajG}3OqOk0L;VqQc8$Gz5OK-pEX$KQIXOYufqgAXm5OBgqD zEi7=?pdnGV(fY(lN^jfxLRv-vY9E@pJv0W3J8+*GfmYGMZR?%){UKY5-=C4`b>NL3 zkln*fFu|C&V4B=vk+Zvr%K7rvCS&$?^7*$2l-e7&g8bvmzMF>7xy`;3{q{2PL-M)a zpo*OpLIza0YeAp#X>#K>WzBCdHBEG(v3@GC<$*CmulBXU zTc$>u3<6Pe^*1kMeO$1Nkn9)bCIl^fw=+V@cc~6YJ{3BB?qC?nSzyJqI*0kT?F(1f z?@dohYCQ1z%;d4W%`+=BU2_>GRq~QfCU(YpK;6e;I`U`Xo(D>Jam@V8=R3g0)D3m< zD?^DH4TG31epU)6Sv{bBo{`=j$P8ZhRzQdDRL(%9k_L(rsj8SAEH=wl{sbUm0KKx&ic&qmE#fBU%FOMd#&s6F=D@gGzj$?vKEn7|G403&W?Zp<36|Q&Zxpad5sB;w zKJpwX4ud^}*O?u%6VLMIG1*$PUpX-P3Lul~l%9+v+d%S5Ev#iJrQ@dlSm4WgtytZ1ryt@DeWr zf3@sz2&DzEO7JC&q?xN#%bGxsv}WK#i}PrBbuU-@As*Yc>zMctJ8~oEomh&+2#pur zS+=QZV9Hc$Z+6_(IVV_B`8EV2m|3y2Z~X z+$;zgX&@TEigzQ}f68a&pcKu+9os4v2N}qeFUa6S1^p=Cwkd^zC4xmMuv1k+PM=_^ zp#$hfs_k_c32o?0Y8LMtcY~aG{f~sIG2aI~t%lMZ&Lgg_X-YUhy^^lQfwwBSMBLKr zaY0a=V0*8nNkr~5?0j_A5F?xyhB%6q<1O`+xgIio5STc_JO2RP={yu6er600jrFog zVf(YVnVUI>MxN8{TR3eHCW3}n=P;=W2HKNYQ|i~LrI&J#bQnvari{&lJYLPA{CNDtFBpRF3$~`_h}&V3Ly9PMW2%d-F3mqsAM04 zucTre;k0nBX`$f!_p+ZNj7R>|f&8Q))|n9EjChR!Im-f!4J+G7hY?*~4q^N* zA2;qavUzQpkW+BN9``0^U2ZGGf<|ybr&8aC`qBUY>8<~h(D*-c>;KbR8U9Z~BVXSC z5nTUIKqKn^)?3eqimViF*e4|1S?4E%GZrGc(1xiPLpb5qj>%-}B&x;>6pRsCfzfn_ zjJ~~W9YXB%8N0?3keqiz6Mj4vpx<{Ci)8ydcov{d!OYlB^_F3w6X$?v`^xk$U)B8{ zom05S><2HV)5Ifgx{Jc49EpTyw+{%i#wLTy!PD_HSsKn zGv zS2_OG<$nOgugyHHV7sMc7sybon_~`LtaR^hi#cG!K(|&y6(L;W*0Yk_L&|;7c3CNK z1!bv%uAVKh+N7ZG2BkYFruhVE+#;0FQKm%XzX9CWE0jXV(0A1XUr zq`^xf45&KXJ!*{Kk?smHRs?e3dORAzPMOBli7Hzq6ED6bc!_Sm_qk%H>u6=JXY1~5 z=QA#+XTVyt7NBZ2jJ+LO@bOhk1AjrI1fja#z*v5F*KOr`v~+T9MXzNJ%zSjTgCXj1 zB(n8kwBZxz7G^LW$l2Cf0F&^sx2D~rdKqJUbZv#Oy;zmfW=9Fwh5(3h^gUSHJwC|3 z=`5tZdeJ3qC)&l9$7cyQE)=RlA1XCee^Q@nhKtJ_X>Kvi^ev)qi!s0H$A`}6|BXgLwTnO%bFqcM zHwnQ6%sd-La4_`mBOm>$;>MlO#dBVftts)0BK`2XJFXo!?-h&LSO|~5`&{ZHnjQ)R zbXhAn=`FhjRW^mM=}moV2WQMrAGDQB-ARZ)!#2v!y74SW@A$eFNtwQw8dUXKz@w#V zp?=aLRSt4Iamp>8!nkm#p1G5Z_eN65=Fkdix1e!q*6OWT-6(-@W`?hBAn5I+AnWcH zK?sW!(w5|kelNoNq<#Il_1K?7feVU$s?=b#DL=wLrOyAi4}@pR zLy(zocSyG82%5~Vmu^owN`t^98AqqftuyZ*Uf+&Xd6MU<8#n45w25&P?K9JFIMWjH zIGCsturw>C;$;&_rn18Xs}im6wPA%6|()Le7BR^1uc?Rnl`g&WV)j`Y)iVYOYvMwP*iQBF|2 zdVNEcFizEYvYt6l8cvngg;1dFJU*1LZaIb0%3&a;d8ikY{}6{afz&!xsp`sOKBP1%x<0bcqrQ$KUa0yv*sK#z&*G*m z?i2SB_d0D|3sNNh=R**;LhK;h)he8lK<1^`YnX-h)~p}6dc-kP z2jlB190BsN{8GUWU2to_63bGkjlV?Vl@36nW(Rg_8FK0GYG@buG#6(V;aA9q(~P#Ow3wW zyn*FhHyo5N;JmDFyaeC(QVZ)P=hueO6gw?-mAZuWMmj@u{SpsOg&n;@G;=M&+@)CE zZIDf?8u4wES%W2u#m>epeN$;YX&a6KvmZxA7kTkwh?chJj~N4Yl)E3Xy=wbTbRD-s|R)d&WM4SKLyM2 zy&R88S#N2OB~llpRC^YC5?;KMLo44iY-}Li)$PW+PM1jJR)+9Cl_PYzIO%Y#Sxj<= z`Oe=?+B~A4QH49D4Mo@Qd)jNg8gV(vL3zJ4l(8nBXqyWkXB9O6We%~^X%`i0d-ummTMRq&E;B+fE#9Le2n z_7}Og4^6l2H`3^HS)80RccK>pbJl3>*57{*l1%h$(2y-yrCR|2*{&(&%WVIIt2s9G zmAWd%!&4Dp*fYzxIsum4HWs2{mMOyZqIlNzCCv34crlQy_J_E8=-$N1uo5?B)Ow8H z%A+1g#rbV1-eRD-Z`EQ!WYDv2ARsWIgtS`2e4tXN#vY1Hb3J8naf4bmKgPWwbV%u` z*qFpx8<07S@@n+^Lp4IdMFfz3_~zV!wY|sMqS#<1#h#OZxhYV^-MHLLOS2L!GHj6* zLs8?hbz$xy)&-2PFWTs!KhAb4J0&{T^H#Cm0~@mfd{m}vOiIaAWN#eL9? zx}31#<8FZ>E5*VeNWj&~&YhfV8ZJic%#NQS9+ll@1=6kmk4HAqg(4^TuzMbDV;*LES|=%{wdyaM(`c+Bup zO3OY&V^$ax8!70e9+`(jU~@!V?ADO%(Y4!(sN_She3HnUp?fiL8i1wX?5;fFZCcTOfS$-y==P_!AbA?Z}xo_eXmx+ z3&zk5uTQ`9k+$i_3_4`RE#4hLH6!iY7c4Q=(Ci+Y>Mk<;3W0pRy>?M#FzuB$_A{j~ z+jjwr?shevCnKY44u$kt1IjcmGZpcM9EFWnFiwvs{kl>0l| z_RPdNCtQIfNwQL+6eE?!_0-5tkDbKRXA9#oid_0ON*FX5;gY%QxG;-Ai4fE4i{U|! zWAL5ecZp}vvsk^o^Sd~|_mNd5^NP6!awBWS zQ05bpH0QXjVFj{VRI8&Ac)Xn@TL+GxsKiUXV4`@bS7_J5VIW=Et^~{heI|Yz54CSD zkePNtc-)QCX7S@+0LoB6H)S4n_rxZK4pmA3e0RH&fI0B@76chL>sh3{_vf$ht?VXKAM1p zHq1BDKxdZ%Pe)KUY-(_?XJoIh6;)~v{Sq}i585w4i1UoG0S@t6(`qTfM84jqJ5O%7 z+W@Mre7{QVnQN5xC8=TbAf;Np1tZ|mC2G_^quRC(Umgb$JA(~ z5xI^awerOD+jNp`2+$JM#(i&h1|ooY{4s+pU`ypglCZ3O&lJ$_g$A|2k?4~PE%?w^#~ zhF0eNi-||pjM|Rp+Y<&Fwz;;^x$yE2B=;3P8wno=3K~!tJp#ov>+FACv0HsOJ&AG6 z(g=AR7{NnL*@U-n?~otO>46Dgp+U1Ncp=(gfVCi?1$@5M8a!Q3Fk_i$>UeGLVZ`kQ z_-4_Qi2ZT1%nn4O5mZ6qS?iUQh&r~D!$23%-MCO^L~N2FZEwg&F5b3kWQ&=|FLhxi z0!O^d2^7Vp^$^P>HbdPqvu^0PLC4{A+?Hz( zp>jBf69_6${zap47%JgBfP7IkW@qUy-ivvu)?)q>*uoUt$DuHmDuq3cQrtJ?woEc zTh0zVu`Cly+-mO36dI;iH~#?rn`^)PUcTw<1kzk{HGtA3lgIgi!8O1v(zTccqvKEQ z(U$Pm50H3$js&T{aB$e7)U9*pro0UQfMGWqjsfFf+_m7y3mY8%4?yvi2#3CW{kC13W>ym?FbSn06mK(=pV)|Ca zxKR9o@Blqs+GsugQ^iFM-vq(~{}!H9qbJBefGz%mfgN%y^vIi>E3@f!FRx1zOUI4u zA9kSw79e@_;oJZ%gG3@H@FUFgtogP#m@Dn0v3(*z$6{(nMJnTlQxHny2K}a3byILg zN>b%SX+e(G6IY%$6_80VZ6=4(La^ASa70(OYVx9!Z?KZlv)Vlj2_>;m zr4}u=5K8i9445y)!#sE@u~^;J+WO+N!b5ZHo)wxr%ENOp?M}`%`-tj4jxu8p@Eb_= zWwoQ>9CUwHkVrfX-Q2~+GVgfFyC#M0QZnAwjyNZe&PVM9(*SH~(hY2M$#mkwBWc2o zt5hbf+%{m>Uif)Sch<}~6yV|`mZZz`m0`7m=xxb1JTt2;sSb#7W9WE3|3gLsMJNUT>L28@w*tZS;L%CYJ*#S+%mE88ufeVCMSqpQs`*Rj@}|k+3XwWR#ts zWyf?vf^S9-sw2&3o^zDle3PCoLB&kUjLCQ((<}tek2NEic_NiX0lmN~0_t`jd}oRi zyhe@#7#cS`ug+(^_^Hp0ra`+H=T-#YPM3IcymeoOVx{V(8Rl|`;re1Q zt$OQJkDM)O>o2$PvTVll^Hpj_KG0e5Oj(;{R}x|{?n|0Q6K>pGLni4;GpO;PMUHT$8MW# zbm}IZf)f=JNU(7cmu|<3(|HAqzdbb@!_Kw%y=$(nL{MGDvo_TNk&m6{;9Cj^b{FbM zPBeEZLWxzszj4B|%{5CQ z-1Ee;92BOIm3z6jLHgO#oN}%opY0{;4n-#q6eJ4p47 zIdS=EO`-8W?S8q~oMF}~xfPp{BEjaLDnY2A8=n#8C?&U??1>{bR=d&~95n2rHDrZA zSVw;b#;VUcHOGfnOp|e}Q&&kiiZ)Dt|F9Dr@Zt=Y09-_ul}Jr3sM%vdPCwtMV3=V` zX;#joUWTxUeJ*2I(RD(5U*T2KMvK%}TbL@SojjqNVF*1&@k@P5l`I+Bd^^{+**BE0 zNC*o8`s*>!giMxy#opZZNLBk3AR;hR}@DnAhoSWXAW) zbnWNBM&@*Xm>wX2r+?(IJu+Ug{rym?aP@1#-+@uC$v^N?>us0PP>0W;~Mq@;OWmPPc4S811D&`npd%GTc9K zP3KoSOk<{EpwOb)wq?ZBbx=7m2iz{fhgOFA2>ogK@K{d4o$WKyEo_rFs3ksOv=o);qb>PC5IR;@~d;#rsUl zgfCg}&eFH~(&i#A6)tGD<(j@jvDvv8Fy>>OM*VO&7is*3g+Sp3ti-DLbmq-@)=*`c z%BxC>EcXD+_^8%AyUg|OQ|{__VfWS>2v*C0H^?aAwlZoo!X+$@cNgpCz}ej5cX;Dw z;h*<>xL#>zT3D`5IgsXKZ-C_*W;?Mxgd^!#gutYgLM{PVoYiznD+OoY3|?OUMPt1= z1kR@qPS8L^6>QsZbXshbx(OqDmf|{>T*cqPyF<4Px+b0jBR(L(v52)|q{*|A2tTG;BzWNSf_u-RqF7*C$KdDy?_5$zB)b-JlZ=9O z+gTI3_@FcU@UNZw4IUbN+n;RghAPoldwYt~5SSk*30nE}4zp|jYk4V# z7cy_|WLi;Ck~rjNJJy;v^}~$pS*9=V4%pK%#yN4XY!~L|H6NlJrrP0VGopNz{$VG` zv)4<{S%pN8Y;z(w1-Wi+ zbI%!Gqv5+H-*lS6j?WV~Pm3rg@)W~fZEE`wmvh+B^UbXUI z{YPp?NQn?DwQN|cV)IBCqfu;;if!i!SnYooEWyu;5iZ8C``|Q&n9=_)o^xmi^E=PA zAn6z5UBv11wi#+BH?66t_U_#GcIL=TGtNBCW{ajcK#eK0Crn~Ue(|fXITO&VOo=Qv zkly(hzO>0dfOv6e^Ox9K?bIM@FFtxz%^AJMnk*kn+W0*q(zpuIZ;{w!?els+P0)Fn z*b&5g#IF37s-!W#+v#e`N8#ZFtc9VC4>Er!-!u>|o;Uk9Apm%&|9PQ}n)`0g>f~ZC zM-4xJ`|ibA!M4sBQ>#@^aQE%n6cO=)0k;oJWDD&m_!W)Beo;LtkHP;b%pea6M^tWHGCe#p3a9rG)VtH)tD6 zhnuA1^Gqn5*_8>mf#m3e!tX;DA2Uw?uZghl8_0AHaQdtfAM(VCsIYKUFc+ok8CFB> zOb2ObnTyIIPl#vGetMX%lm=j}esDVaQ)b5J)TyO%qA7IwV$P`n1_;V57pJ@GS|{u)(pY<-uT3n7_YXL{oUVK?~q= zc(Qbf?{7X}$rW%N6*RB6qVgtezOnrP`Ud36OhumzGN$fd1L+$`PkgB>leW~e%PJR| zp%;#VO)c039E{D2eOHPaXEjj?x@#}zy1`fWq34rJ@GKfcwg+WrM)lGJmDf&y+<)Wt zo7}E|hu;LBf;gDsB#dj;2b?**Ukgk9MVv<5h?0_STv|GErau=uD zM9+X2=OjIP+?g9+?P#0e2{fr0w@?PZZ859tCy}40BUVu@Dx>e1Oki^BxNA4lqXUYeik)R;_{$mPpz`M1@g?TtPmYZ&_T9F12!#$$Twlnoh(BP0^iy!S#GkvX zW?9*LDWh)~)*Wb%8k0s&?B#5%7wx?2Pvq`Zr z+lxNv#)C8eb!@Pil`%~bV|}6L&yGSjuq9t?W?7c{)l4PAQ`fcH(BDoLKg`|v)hXlq zU+K&|t~!T{2z{u~*;Q;Y_lozHMrHhZ0vOp<-9wKkUGQ${3O@TV&in(#d)>(;@AAIPi$*^ zhfbK4$@nRa-ZB*?lW~}u9AHQAtmxV3u7}9!sls2Sagr@thH%Xn-}QeO*Y?6=QAkjC zOk%|FG2$sFwVEK-GNoNt9LtNq$Tywj%D73lJar4uwENP;pC4yWtzKOk)ZWUUguS%x zi|HwOKphiO!MBnxZ0wTJhZ|#_vgxnq_E@y>ePSqe2?G}8! z58(Cm>P*i2Pxg`TjH7}`0Z;QgX~Ty!-Al3_n5$kjIW9AH9jRN357iem2UaC#4mSjy zSP&U{-dBHah!u>^J=W{wEag^@pf3eA8X&vOAAm(jys|@ke+XtVU!npPQ70fnbN)&It>FM#6*BwK#&tf_#VT z9kDphCJgr%+e>VNlYG|Wb_EaLJ8P)J);IKsq=57QOiBBQsq4*~PzBnQZT!YR2GgH| zYIA`Bov-|2KVeFw;lW-NS!2E?)0EA;w6UO$(mA@_Gy@HE(C9td^pDC7hkt-yslaW>8_wboEVoAaa)+}Q%NaKkXgH^SjeIb2fpcHU6csFijfF21G9>%gs*fmqaRz1lYl)zvT z7u2Mp&hdMhy}nMp!*q$J24Fo}tfgEa>xXu@Uwwdalyj>fd~Z^J2l%zPtKdmsA~~3_ zx*(@4AI^kPdn!ag0tm~>T^SaZ>?RXm0`u}jzqK@w&zEwMGD;^*C~=P}*p;^Ap!!8e zWl@~Y2XPVlmWoF+^7ALtnwDwsNNZ*46O0BlqL*l=xdh(q@k{5KBTnP>5nI(8C15iF zyu0+P6h||)E&ER)H|XL3RKT#&gBE~WGPW4yMQxY4`o!NZg%Pn^eSni=bZypD>SUNssvUk~z z1XBG%npv3=P5~Ney@d=hSSaDYF4qp#%o;bfanvx|P3;0!$={%~r(o<8*Y|s*guT*T zA@=-91u+^cqyAjV(?0iCljxK7dfA`ILkF1_zp>fp?8Euw>zhOtVX7`|cN|Tpp z2;Ktcx1);~`u_cUv+FnNcN>(IcH*8Bk;6_f%(p99maLSnd__Mdunrv@S{nV8I%6*a|J4t>a-sv-o%6d=al8?ZU4@km}!>wb@^&X)kOzr zZZ%ejnt$8Ni7njGq~u%i>hBkxRY9(BDo|?-m8v<0q(5_uBbD1%OxQSVET3_r9E4h{ z{`$38(*bH#rszBKASMNuH4TZ^j8Lg9wwmvVQ%vG9Uab>$4X(NkRLav9)Ds+{EG`+8 zZweWTo*n!dYLmm(UHEm10iUKt65qCyoh!7?03`?;b#ei}PAOUW`8v?C8zig}yR9|* z7QW?`G#ag0_)b7_6<(vV}ziH+?vh)Y9G^39h_?~)8b0;(W@sHiJtc{~A=?k+g9 zQmN*W{oah08A1=HuRt+FWj#yCz=_ocvH#fcfPRc`1PDXtP3J5A3xxg z+2iG}EBgh%MvwH7yPS(z*t3b2u4g*;g0DgtE(|hc1S8opK9z;W>{T1Qpr&6mFaoHB zo~yW_ZN^@?uUiEIMT)cDU4zpczoYzEaBSw?5Mn_;6anM8G{B~hbvdMj#;k}b+0mIR z33?xTv;Xes`!G^QcHpAa7HQG>tYQG@f?#{dt7y{AV%fV)Eu}Z~5vV6oLkK3!-atop zv^>YMa#7)FVMPr4*qcz*jC1y`4F>9T4W2hDJ}ud;gU&Pt%<`YQ-qVeJptc8RZg3X= z)lSu7@UYDLfWZr##z}t}r0Bb`Dww<-l$FKkXUqI1!E75hiR*%zgSkLL$80BJl&;dq z5Rs`^Da!U)zW-$2x_xhW+rLXj{0jHmsCMg?c)Lhpq_nQYV1jQ0F%t1#*7@Mbdmd!jB9# z=VmeccubtvwgzXRP^?NUl1V2e_OOv*JWRSk1mPYl?h3UT>YhA0?)A!#Zss2-{k+uu zL?}9*?N!vw4Ft{dAGF2rP?2QRZhX+dF$Ro)T`2<3as~dL<-4a?6ovp~A$^6^iB&T} z*2a0lXhLxWX^~bmbAV~Q3n8!KY5VsGAKXLSKVolE$^mf6Zk_-g@>eheJOO=I<;x;AK@NNlct$;kT36*zIq5GqKAkz>SEV;@Op%FH0mIH zqKS3bhNNd$Xa%t5OOUc2+84>S8zq!z@;@u;$i!aVwG&nvC@-Uj68)8N&Wdq0AFWxX zvlhb-EJ+9aH|xZ2qig@grRr-f!Obv^D9IP6JVzFbF;r4CM~nq_G0S`Mdorp&tPAP57=u8*5H4!rhxN*AU{I1K-D&Af@nno0}F z`+h!;LND34 z*jk)~^Hj0SO50!yrq9F>LH&24^?*5{Cgh~r!T>RGeE~h1y;4`cTwL5ROJ^bJXFUc~ zB8Fd?KzqTcRDcfd2e=D(jz~9Ryedr0JGJUAt&&BP>mC+DoHrzwHytp4k>D0XwK_H4 z_=}}5>V!zQ{7c`I_5%e)9pkhD%ItI|d5kJnqcHX!xrttKoLIN4b% z-j5$DMZg*H>Fw0`hVJh4soWpggxnnBP?Bw`0)|Y7;$*8QlWsnd&{Db{SYvWhPUmx>B9k3GDnz zklG2Riz>Oo<$PX{^{K`?rse+t@z>)KOch6du%o35{b0d+5I2s?vJ(C=2SXjZGKwMF z=zVGrr`8xLxkPc)%iWj?+222mbTVVGIfez=_D09Uk6^puSP)AadS=Nf~zMLyjG|6++m0VJ%(vtb!6sZe&^{ zY{wx8b9+v-2f*b8+oez2vb}p{BUr&12ik!7$=koh#qv!p<$Ac9AnJpAD@r)j=MebN z0C>?5IR0^%&0YC=HlM`EQn?U%TOgsMSCCgI+oJ3Tpn8k*i|GItQwPY+JSuoA0l~yFEI`*mICaif+X&!a`Hm4ocWpXMWGNlEADj{wQC8O; zR*pIMygf2!n~OCNY*fBT{bK(BVDsW>Gw~Bbi#)HytFW?Lt&;4=hC>SNv=kL_RR0tgyG!Z%pTr2;;RV_%fdZw{)N%K$F*TWjk&<-s13Yl>pim?#AWft)vDaczw7^m{Id@BWsjH!; z#%VafVg`{4BF!X}c`+S>9?`z93uhD7(!M>@dEg8Tt0Qe|7JAE9?zlk+L5*ZlnsRKy zfTKjUaecevILBI)Ky%we4n9bqrkihsDE`W(UE~95N!lo#(5(8ebP$Ha7XLA@`VG6nojH{o6 z?;nDi&n@Pq@UYG?fm>(v$0oSk#+t%_B?424&N9`h3u2Up=K1%J5~V!?+07jQ@R$WQ z(+-gxHQ(M6C4d#DXPv%!#))OXP^s=tu;_nkn+CLL4RYO76;6jtp4tz*i&)5W3c3LY zk@JJxa8x6q;u4~mAC0$VXaHr2x`mk~2rO9Mb8=8cyfcD@)IH%&u=C+1fu3$u^}n=`(eo?Wjz`nMi&&3LxKdwHBz8#UamTZs;Pw%rzjNs zVc_F&mlZDaqp30m4Cd!7IA}`?93=OvMG-$aarHw+{BiY`C3)q#UgL&=fj0Zgp=U<* z_l_=H1)d*k^@3!tNGk*P_mqSq=Z~yL%MM3IDbdaZkNfW-89AUr`UAP|1HFsInPhU1 z1}LbY0%>rn;6cLn&-YknQZ$(^z^%}}F_kE5=8K_8&>@OMn-COe9t+Ig7-O-}Y6-GC z{{R@kfFMY6P19QE4hoRaMGq()K3%+J%aG$cyQInL)+lUi_`^Fx7=ZO4!S*?rdp}eN z_JAxlW13p(0ypHtx?&K}Q^aG_>l5@8NrkkeR8=iiU|N$Pia8&8%TQay7oq^UrM-ws z7_mp`TvV@mF4~d{Aq#+l?A~@(OVE4G1@afgrtH{un9o+>j)5>f3C;-$gf4;J{{TC| z@I*BGL=i#|aKk&& zT!7O`Um`@sHzJ%XO}u#+vKlOHZ}6*JD2xUm-~hoYA-e4U08E-}jfKA$8U!2!Kl_b_ zK2_u10aQc}`CPmK<|Fr*PF2G^;k>sA94KIb8axg?_!BYNf4D!%Ocj zJ>2%!TwGvyB9_yvZc5P3G4)9O9S6rh#t>NO7`E2@WKfCPJIhm$>3GI3U2s`xg)p>xz2T3=z;y504wl(pWF5 zn;SUA0wA4iQ9#!mt z;w@+x1PHr0`>HhYVWR-n4k)64c94KH&@E8#8o^9}8%nP~7>&>7s5Zba2)gGHWmFP? z+LL0E;Al#}l12czL?%CgC^9M_D#2qGU;~oS2`dJKnhcMv*`8RfthW{cv)NK&D5q%p z#I`+AtE)yWr+KuSFqEyN?`l18!3L#EiKm05B2%JFV`hxB!HHF)XHGG!5-s~FrDnw60fp!G+SI5tT5|cr zYZ|g@GU?VCk1ivvW4&XJal6c^SKqJi6;6pD6QnxUQ2;#ilp5E8U}9%Y%i94mh1*$_nO%X(icQMKz>q76|vI zQDrrsA4qdF{{VRJUfQbdy5D+k1LM)SrmXDzVy20bkg9zChXh&-QFX?L=PdlFj65mE zS;=7$0=q#67j&tN_!;;fw73IMLhFGswTT^qk4bwJIK}Vt_h6{Ru(TZImFR}Q28eHq z)|CUg(GV675a10HH-!`vXJ;H)k1HVFHQhBC3t|y%%Qt~)8VL70$YNAtgtxF0TsV|O z)YL;Tz>vanposv33X_vrKm!tIF%!LTy5M8quDW8NLHP>kcXT>`6&vx51wm~Q<8z-` zCs6CXw@+|shvl0Z@@U{j?}w~ODpjltnY1{-3bfw^bO_mj6a`&x=MJGZXLwDEKqtPpod*Nkln^}*u!{^H zE%%CV{2=eVg^qO^`Z%~0ki}FD{_y2H4cqaLkqPR&2^^#;Y}s^k>oiT1n9Sq`&TF$0 zEE)wbZRXXhAWknKvspw{*rtx~okErlzaN}<6xi0<{;`p+CbodrL-&CfR1}z1pY0y; zt?DH0>MoQzee;LhTfQ@+RNEexuyI})?aT%t#K)~Stqjr& zwK&7tF)fZ)!>_zFAUdc++mRJGZ%4=`)PZrK0lIUr3t^@;cQPU_2sAd$JL5S#ROcW? zRMTfq80FFY?OF=pEG+=8JRMpAQ=kK*Hj%ChZHa)o4K17w2m~(Ok)x+-6-SXZaT2Fo z@Il(a=C4HNvx$2ZGgsYwvJnjwO@icrq>TdT@1O_X6acS4p@4u@1Tmm~p}n8jDcXUX z7-TxB>+_aVY+4}l{xLUEQQ`CV#%v2<6OrqW^~6_Qb$XA67s@XJ{m6w14`>t~-FsZ3 z?naJEh%X>a0v3I`#VcZK7eENyps3qx#litU5Y2>9`x&sS9TO5_4-0Ux0=(R1qCTdV z-dGLM4Qm8V$ODAk{ACm<(4%MIXx6}C6 zF6Y4Z0pFDZb&O3lk&e!%&z|t5uxTakvT?0;I>+Lr1K4QrD*fTtb(CqlcduOL>d7yL zZoK))EMuc<$HSTo2!WuW%VolZhRF>%&EeCu(&;TV0-gaGZx_Y~nM^F{Z*g_qaLp+> zLQw1g_+IdAOUMni>%Xj0rEf73`7xH(+H?2oH85l@gfAq*6_$#};}cX(h}+b_G`b6y zIX)LmyGQ>3aaMqY#GN0H<0^%1SKet!MOyLaA45vxPe0BQ@0*Q0%;TBR2Hx%xCYzNM zK19|+sTAzBj+htha8cma4+|$dJtiWW-dmW2=0!5)C>+OmH%Jo=ue=cFt_}B&XbZ?< z03^Rg2B@QO*tBa&tUz7)JmFOC@>w9!rneZ19zcsn^57&al&U7bI8vWpufgXROLAU9 zesD{;b+tL~=M^DeBl^NJ2OGmyAWtVAF@r@wfIvGpw*vtMBfboPNg;E*4P08)>L^`0 zd+8VO9A8OwH-A@K!~z5jYs@&@$k@ae4%G8{!Ja4`AUDq^p8WDP@4XX7IlIbyp{%l%*qnU>%P^zp0}Yi+%n%ccUL-EUteTqt|D1ELU~*g!;V zwLZku){d~-lmvt&*1>$>k-`?ke2yhhqV40>G#9u<<0(GE==VRYcpPX0@h8qqpuz+b zz2IgT)bda79zrSzAT8-b_m8VvDE55&#Y7Mob#;Aph?Na5W>bm~wXVm=;gM0s$2~36`9vj3^q{U@e;7ElFjS6`=g$vf(sY%KrdZ3sYlM;CVmW z#K_#}F^yuLY(3*p?aeEKFcj{x^4ulY9@!-ZaSTHNK#FYOX1l~UNCRxc8UUTIA`vU1)E%_Ezg@+%Wd|*}~nnWB^gGar?(eVjMN+zr5QD zZF&CyOlIfvgU+rJr3xmVUiLX4F#~6LEmWI($pBt%ThBA70R-n*S0On}v3nZ~RRSdo z?*>o=CuR`?3P#lvW8oTf=Q>p4W|BswV1g$tMy$7Bt7f-XOCifHCD1-PH@v7c1XMh4 zo$nAdF`+o+ddk0@4Jpy}h+I)2t)3^&SwyV`N4#YuMv1c&KtzNqXFK!O5x_SW=@bNB&Or65*VVbe~vM2RYTjo zXHW+wf%B3W-GXX)#2235;l?OHTHOHW8%r%*PN(M>RD>=1@s0r-CAe=T$^isX(*FSN zIrZ!cy>0r-5D6gjqGDAD#kOPSka~5O#ERDNlR4WF*6^)SZuNzR9|4FUx9GsCmWT0? z8roy2$tc?^Z1 zIqjLcK2G1PR0BaIXN44xBAAx~@>=^w@!{YA2UI>f2IviweBn)82u_oKuJI%;Zx>b9 z>on~N;(;XjFi2;%Q_38N7!sn$j=j0A-AyHeu7}P!JP;Zb6ReiPz~0v$@m=7ZI8L#p z*p+z@|UGJPyh26)_K|~JQJs!7+`OBhZ)D*Xk?;BwP?0|Kz9K%a~+3k3J|_0Bo~You}%{JppZ zsB%ufGmeZwC}?l2ZIna9jXVZ7jRn-f5$*E+@&pS{%O9lBO*NHhO*#_d3PDcEg8;e{ z9fFm3HxiT-^kguDK8MCoS`OphFc21!?_a!j1ZW34S9kbg16nmMa|k-pmj=N28|NbQ z31nv@Pk8FYs0~~}(RYTw7!sB$xLY&jyMrkoq0*8oNGcO8=O`|SDiCy4&|zFVy+-ODKqqEu87Yri z0OSsX8#wWSkZ6lVKc4Y==Rge;e;#vnrvB(1zf3344IzUtZ9|$gFXtY(8x8&Bkqs>C zAr1fySCstZM4(z}oB|qyPk4z%tCp{?)=F(aRomWCRSg4q1e2z3__&J(fs5k?3>ASz zPdMobw&mac=S)B@wBC1&9pEkWzKms*CY25MfM_`20;y+J`t^>|vub+OY3^a-=ej6s zWV(08JXfzXky|l>298$}5nIM?wuMhPAwqI7B`&QyGeRCmVq!W_3Jv5UFt+JOCECx4 zUljb~6(FK%0=;5fTPx=QH$$N~!de4K<%3=C03jwWn%@Wf!)`5hUOyPr7^O%#y$USe zrNn(u(`zB{;uQ%TPZ)!QN#jqfQx9l0E;JP>M%v`xv~e0fk&Kr;K&5Y50OG%T`YEX2^66(~>-_wU`5==#Q*K3|PC)G`PvOsV#^F5{+@J6Iz*I zfzVyGZy|vW!4cau)Qz=>w<%9H$c2ZR4BxCPDYg#8<>Gn3k}*XwrR2O2CNN&|M}r5C zkDQiD7g1f}1%i)Z_3Jr|Bk*j+@RKIHQ;cVHRye_QR)*bk`Nn`Nk!OChKt`N|#cBx~ zP{wJng`m!{_zPO{@q=D34yT-5VFPaoiZygd-F|TH*7B9d5L6l}fxX7B1}ZIu8V4}e z=5&WdEjKV1@bizYiS#-I^*^juHHZtNkxx4JmeOAyG3==tJ{T1t!2ba54D4feI`x4( z(1xGRE+#ilEya!zF|t!vU_Q(PM1gBWSOA)sIIROs>nMN%0Cc`M=K-dsaA-R9=M@uf zTqeXz-Y9G=t{+B6vO(^ad^l=^(GLzXj0y$KB_M4ir;L@HsrGk*KDi?U>C+j71&9q* zwF7Q!0NRC(fnF+|X~Dpps1+g7Jl#vliYP}Jp1_fAJ9!5aahL88c;=O347|?#DC`Pw z8dJ_j9^5MG9yH=^0zZ>;WO|DK0LLw(BM@TPuL>#!Al*mfB#2)C!5+Cc<0SSk1}C=_ zLfa>A=K)}f3d?cyMhc1Xo9h*!4Px5nEs*|9YSU=Zr;o-um`*i?<5&caEClD{AgL?C zyX)%?qA*=gILwaIM*G3wXz`!!a_=B1mOQ7d(4k$h9QBaEJrCF38*qmu8dn!a6tA|e z3C<|}WJdEZlYO*oaVuI} z#{@2iB)}k(g?H@20yznDnotR+&J7*3rdQbTFjcq$hd0088>Yp{A>%e}7U=WymmmrV zrQ?&%4Ny1&o&Nd2LOVQZGSdfwbAqKh} zxy?s@Fd#)X^uS?CvXkM>dr8B*0bi^VYAswSHUMj-oa1{8llM3P&9I=jMNZ7UT#h@3 z?~8yhbjfeK_5cWB-}+QOyEWdsP!2V$-bAWZB4+yZj7YUvQoU`zHX z&S()dZj;#zWOn#X>7dc*UmPHVM+NH<%Qa1Z8MvOXMur=_@?AY*t8U)H99Nv5a}1+#G#|P@>TCi-?)A9#_GIK#@k%j#;knd&iRr)=)2J#z%T+ z2Jc@l{KZUm1+ybYbYC`HH-lfJH>w6Rc7bK()i0A`z<7>joyXGE{^7L64N-PEpj?lJmBdjr49N_aBDYDAf7S_@9US9?p+x{)@&dsHYs3s_ z*eQ`Vm0Br9V)gOH1@Mr#^N89Tt^w;I^*glS^VYK0aX>;z+A4^&a!>IF;Yr2WYc+}R zjqPEMBpm@ZWQ1)Vle>C&z>V07h@=GsNMLyh05k*+1dW^AC*s8`;7uZLSWjD$R|TdW z@YA)^!7*Khvh5?DuO+7l&?``xDCx}(@X^--pUJPUB9VR&r#PfQVcA^!!c-Sfba#je zRL~q)2%x(wA@tyY10!U+`@zVK@c#h!AZvbWyeCuGbNI({X&bFr{o@V?AWtihHp|O( z#U^*oSKbiTmn5JOHK@Py7b}7yDBv%&xWI058PxC>eNA_qwno?&fTv08exI#xO#?yL z++FLuk_&+h*{X#!dpzNCNbxy4-Jl0cZaQ8UO${VnheJPp1_Cp)^c=_d%AJ^FprPPP z9Q@_UhD~()clDd|BEnW}*gQV6`a3nSA}kRVz8(__b)=5W@bj0oE3(a) z09h*QTk>Z%aElKgI4u+qIz9(9y0Ysj#T7{)F5~9=Qkc^#QWDqGHRkj zrLp83Ck`EwKeOJpG-8^{toRp7XDd4A2+o|>YbQOGzlxB{{R@oHax=O>>G8do2m<- zdB-`=5}tqg%)zM>Wan<&t+cz^WX6yy`oY)MEt#R+Thrk}d2DSotph+Bd$~_ zM(%0f9AZ;w*cgWO!rzk(?)nly)k-nDJ=}H;haj3ci_RsYHIC~QXcLz=EEc;WMw_`i zUF;VSKg&i6sNm!040Efem2dZx<84&EZv}4z%6~WX_m-9@r9ddcbt9A!?cDt^g z_~k8V&m^2nM_3jXL?nISdPZvob~GX4-m)7<7cF>F#VVO88HHdT05Eu&*A8!BSJoxm zmD5VUc}CU&wCa4B>m!yM#Tn$9E%@*G!7pJwejW3I0zAHQl~5%EmPoHBbeN(D0s`N+ ztmi^Gn&r4t4di`g2OtQpu`G)b9pDn8A6Opv8oap|N4^U)(6f5OLrTasR5YO1cqn2_ zgKeAz_rPV7*diiLoD8yFH;0|D8Y`7uRpVy5#N&8=Pb%^bd-%pwEKPfefDVmC-trX> z7ZJCTNyh!+3@AE~3Y+XV%$;N$NDxQz(wu)7G6lVZ0`ujsJmFtsrj{FJ#Qn)yMG^GJ z=Le7vZdYW9RAx4~4g=aAL7{f`xyeMx@vkqAG3_U1ht@G94Xqk#;tWszVwhH4d|Fzf z!65*E={BYnd#+B{D*&sHKp63V-0UbQ0JCjT^uUUsDRKb{@SzKj8CdT}jr4rxrN^-( z0$4iprtwCw0oTh~LcubCdl+rNfK^_M5b44}Y3xKb?ZKe4@WPLK!HnwG5I$d{492y% zc#rD{$k)+hr|~icC;>-U!+Bwe9soc2gIu-_`p5^T)`Sob?yeVJLkhomzA-Ag%O$pr zHSwFt&s<;PoFR>b8h5M?IExt8lM=QL}(1!6T*4k%xNG9QfLP=%h9(OST1O?rP=s?vWa3Cso@S=y8Yx7 zGRvZJAd?B+zj6vScyavW1Uk49&rUbq08B9Z9{g;R#%PH_H)qcn^q+ zec%v!Wbpu$N zPM(YuayEQo7PjY=S&?P)AA}m~F5Rxfp}2mLT}%fAN|CrS=@)CejJ5bBOy8JmEwJPv ztOKx|>m37u2sy8K1;s#ZPKWb|utawJ;XRe?XXxV;iYws&3*^WE;PQXwKz98t#z6&r z;!0Qk>bTJ|JcmKgyts&#Nt53alwh=2z&%S3;cP1Rl8=9Cf=7p3Ai8bum~hADz=w9#2;yPir1d z>UzM4Y7PgJj~l{D@-)>qM(((sViH0?O-bN!`@w(|p*P3IGn&!9v#-`ZK<3H-8&!aJ zmnt3yg}^s;LfGK+p&Q^`1iA^0rQki)K-Yv-=PASE3P2?SsE>?qXYN1_(_+}`cnFJb zQW}=9)5vm}sLq$<1&W28^@c-{#8zZM3&qaxD2%2g?7AAoMC@?>e&DF!gm-w>JsyY5DI(CWI|5Zbcq`d9OKl| zo5AH5>o;9v;=3~4->kF9_fpedN`^WGl`0P>8*Vgsq6r))S9zKn+l#D17fyf9KthLL z-{%v;!*uHdB@#*26#{QyBPYq;Kt6RR7)LPn8g8*K00*PTUd+DXWi>LjNwJ*0A&p?c zv}h;62eFA-G%nqzZr3`UV3;KW0MWt^W)?G^>4`(hS)jotF)@+54R5?JMJEL}K%=kQ z>k#yOgCO3$YrNNLn1tVhy=#ouY*fLovxk582ZSg)AT?|-P-&4M&@HwY!qN_)fj)k509VZmziZ3)g$WLTi$`Xje|S)r!ZvI_ z&QrTc`4ba*KN(OEZ=ybpjyxE|$`{8PJ_s80WV4|#4Fyht!xgu^_vbg;{u~?Qg~A2= zFU~juZuC=Oj=NwnWk0MAfwzpLhR#f5^Jefz$GL)UM#4B%>LaW!#83~Qr4Oz1goLCP z)Y5jNQ2E4t(AA^pIV#^-STrj&vrqB+!X8>Shd77?o%wNqPEiC+fdoy7ipk8zK<`DW zQR@yd;-nTltE0{XqRB->a{w`Rf`ez{p*hAj=%xoJr$3x85(GX#zx~f0AZ^G00CQM` zSxUVAvAYm~)Z@ENy1g+QR1R4M5O1dOkpv{r6JCro6+NxB2zRqQUygUI5)~ z)5DBS5>GwjC?jmyka1QKb>4ySlf2=hC0hYhEy@3~UFryp+O43`b!+WXJ=2n@6pD;IVdHCSS{7 zW7E(EmCeU2VxS#|=PSmV9xYUYb~rugQ?%Fem+c&#*CiWU2|o?v8L^^VM`PGv6QS;7 zcsMnJw7ddV=R3Jx5uOoKTHV$asV5A445jz+jslly3rCsGvAFG3OI7l7gsNx?Q{fxE z%p#&vG;ToflNw0YKhJpAgdjcc=FOD~toQT2FoASJZvOxo3iAH|hVU{bk{jMh#1)9U z@$O+cHo3sKT8XwP{{Y;I2^KU1*VYkK%^XZrpG$iu1_ab4HAdS45aHd#jZh4T%c{0b*{hYsXl;DT>?So$2Eh5wPXYuP(7FZD>j4{bK#0Hk#j-nwckm1E7L5 z+_HPYLooQ8LL00+6|-J(&v+2bVjXN}pv7V?&W~9IV})qr zrs(%~ok3TAFh2nD;V@KRrXsGn*ki#3ylWMvs&g#P09Q_2)+Mlr{xX`JE$0vf?XH|l*W+Q z;xwbP75iR!$-#j_ertgVl-R!AV>YDn;qzVdaXI*b);SCDtQgY0 zT;}Rs35?EZxMv~?yEB9dX^=q=6Q8_5AVIb_GLpL^hGBipYO|i-S!y-uhJS+zGV;3p z&W-0-f>FVWCu94;f?bC32O&TTvX7peavF&NDsHyVt{^$_O5Nm0gzpw@z*ELNv`>=; z!(|Nvct$s-(H^h_0UgGs6o@EAeVM^TJN$18$u7!nE6atl<^8R1_%R@XD`C|)qOZmf zveAj#sm=r^0&jdJt_sV03c=?1zzlNeMy?spK-&d<%6@ZaO&@G!bRo>cM8)84nBXM{ z%{hGhU_fAey=K$ny-94-ekp*Y8e#LyaJD#`&CsxKml?8cND0eT-cD-?4Pl_ak&z7X zTE^Df2c1t@B{T5sn=AktS`~|{bW!ObD2W6_-zFy7Cm2{a7k9TG3t@rw1{@!ZY^UTC z==sG3nNxpf>3|K?1@&FOtaktp%?r051fanG0OntLYL#3Ry-gS%YakEHHTy&D^G3^S~@1j-T>eWxy;18tGE906(pd#`O6SdHSNSt6T@i=V}%er zuEX@@i2)=2POMq_ynE6C%(L z`GAIhAquZ9vWiUa;OjP;U2>bnyPJ5J14VgWOwvM&OH(!X62n@?f&e;leBy=*AO^W6 zIz)_{A6d1;LFLdGHW3cT-WVzz3Yv0as%>F8xUd=*b{7;1+&leT*pzdq66{CJdSMDS zFzM}ZMhPpr^Ispn4v8#i!2kjgUH<^LhMHs=JMoaU0lSVPqn0Y0ADZKB{i$g0u6H;_ ztGESEgbV)wyB3GUybJX(3D6}->iBrg7lE|)ujAfB4GSN6tEEVWy|caJNKwyZQjVH_ zIL(w2{ah=(PWizBs06$LzB$VS8Y#$GZ+A3Er5y`f+I82)28`7!O+PDe=?kuEi@(kn zT|dG{`2I2=5e^O_p#ebRaXEJz;Y+K~TFe@W_I!F*xh- zljzcjFTLdQ1sLBJbBpZY&^@^{sgW%kF})%=QvpNhs}M~!%1mkobzav_3%b$2;Bq*L zCJ;DJ{&kDkGag*WLhT7nMAy$4xFQoC2w)FB@z`)W>kI-L7n_!#Kx>y@-XUz^-LA|C z7k#bpZw6(9S{}#ugyj(zfa{Bz5-W0Nc|}NFa)*uj$UzZzz7;MLV1F3qLlmPqzl;jv zHI-MFv}UnE{1~VFpWXR3D^fVXfSi|1UG3N~lQ^ z&^~?RasZY80HXf@wSu-hrl4`7$5+-Bs+O>ihP)XFQ$k%GB*q4dA;LgJRj(tlGkG947fW#Q;dxcKA%G%~%9o!z@L* zh-cRB2DK1u&yO77Xy{q%(sh6fQ@H;C7}wS3D+9|$6DFgJF#AuuX!CsNSDbbtTJnbc z7=Q~E-M%rTp`lv9T%>hA0~P?`{{W9H9KJtC0A7&#!bF2H@G&@a(+#sf^@>QihBz4t zQ?~OXNqlw2JOx2{n44gPIGX;ld?yv#->mDAK;DzJ{{V4-A_*E(P8|Hdo`U^qnM z21s^!)c36SQZhF}EVg-`;97L%0{1`?_S%lSgYgs%} zC`^FL%3;ixI1F*m8 ziFADFSv&EE)~$hu)amc%CI~FvL%n5&nJuI8>z+(~pp;6G0ao|D;JoOdJf{5+#H8wu z=NEsrLa0DXeD1kufJLLn8MWY=Aeym3>}NLsSN?JZ36}TLesglb(#k#9ZVObj9TM?~ zE+bb<%AL+}DuNJwd*iH{lEAV~9qX^70OFJaqrN%Z-V{dbXig{IX&Vwj2V@>jakG3a z)7q1Z*kH1Z1y~1jV4C^EP19FhPxkW3EFFaNj>Dr=`g7Zcjl4LhBp$+N0B-$dWMWnz zMEkck)E3+=-mpd4q$Tx?(Pu>Ma*8UsVZ*;ZHH6@#?7i9Xh^0N_K0g^TmXeY9>UqH0 ze2Zki{9w^e*2MlY;!IfPyZrN)qk;ux)aUCC0n*n_);)2~I#C$X`nS^L!~jg!lN@Ko znc2{MCPW1+JImj)zOXJ~9MZ&zzJ>x~!O-)$?+Q15oxCf;dpPz<87`eK#QM07@j|^O zBV8{!U2n%c`NURsTxg7M#z}P{;`?7FQ57i`T~E9Uz*PqiUo%)r6-wv_#&K>zU0QR7 z6QS)IUk>+`g^I$3^v_%SlQ8EfMpLMh4z(&fz171#MOg4FZ4`|*R9N-SIB?-L3- zvv&WqCkJ67Hz#>w2iztm<^~#G z!mrc+05KfvQ{furW>g#kD{)5rxz3;iVZ)a%IN2tEJkA-}=i>xs)fF8lW={kHEPe-v z6!0@|M1ug2V{wTfr>BiOK5%?EC&A8&*JcgTLekC0=NOi01#_>?ZPG_V+b_Uoa>EAH zSEmUGgJ>q9A!dYGyolsUpV*rfo3%gH=}ruZC{K8P^h*V zl?8b8V8w2rhc7s%w54d%-=8@>cu=7CMXqreX8g)7@p8Wr@ra~}3EHi2YQ@`AH4>gC zCc+k%5V&QdT>~leQTK_1mR>MV3zGK0MOfdw%sU7=kMoRm0xv}3WX>7nhckNP1Qwc) zvDX{@zHsJk0zc@7Go9nE);s zS>hGoSElD+1oBWt!_#~*mxa*C)M2ON9>DEYW$y*A#0>~F`M z1a_}cJ-U7}NR8BPIlN`c4$zm^bJhu%O<4EwsW7=$8-u#_@rV$0Nuj-XGKnt&f!A&N z!(yn3AsReQ2QQss{TTsLubN(PU?g=&2tKKdB%4)T9uM~OP)xkx@qN|wWzghg0M_6E z&>3S>QiGokxyNNiItQ}~%Fb}G!-cY-n>h824jwnP$w(7-5{RJJo$C6;$cvG5e!N^8 z!Dy?>r|S&tYK`9_;P*L8h&1Re{&Q?d;Wz&P&0vbKRo9Of8U+yGFZ13|0U@#RoG~E= z)$y#37Ew9kdBcWi6p4Mg#;`avoUzl!RTQYw)JNEf^@lc!nRV7IXd8Q{r6vHv5I9Yx z@Nnq?P$H1y0J23Tz3cnlYM3B$c>Zz_kZ1>)J1y7V8HgGx4w`N1WpKy{pbu!uc*)HM z+sOX%l_69TjuhVg;v2yvPg>x~n`y05=|6ZsQ9y5e&VKMxJ>5zvdgnQ=&m`{%2r!$h zYb7|hoYVLyj^}*StZp4J0Izq>Ex9yIL>;%gfT+SCp_;n2Y(5?)F(Pf4yY?~i1Y+9e z{Nk`$oeJo5CC6qEz|JYx9PbDP2R1iHe(-n-aI@9$AG{drG>DuhhpzEhps!sA1KIPI z>L{WBM%#e+3^CClxWfwY-(GN1fl9emJah5RCZi$@D(kkV?-|fi2$rmE8i$;AEvPyS z2gls9%SyB)&VKzgR>wSDAkD=|{lt2xJ|f^@b?s z%kX{UdsRpoRR;EbnW5TBXwc)t&NT2t1Wa%px~?qESfQ75Sg}w}rn<;(pBv@x5CO6yg}m2cd|C9}gHt6G)&b z=YN(O=LA8&(fF_`e%)Bb>A+!B2%I&HMivAM;r{^UX%XKN0ksYDRd_&PMqpa1`^P>q zIWC8+p#5b#n*6bdi@}2nv{nrh!eAYZxC`g8$N)g>@JG&!3~*c+R8UamxmW{ujJ0?-q9PyOS{#WqTg+5veEPuC=JvCM#uT*zRhZ-amCo zQB)aq{Ng}k)S(D!!9HAb36)Kn9`O<;(WZDOA6OQd<97G`X9BT(LyQcy(9(Os3Q40u<;=Jn`!_qqJw>^^3EF9p9V= zUPyMCbT!576D))!6^=Q@PX3r4*H}Y)9x6m<)(}6y0rdX>Y-sJQBUL`kM_g+x7YTT) z4U#(0bC;g3;;zoL;CK80OWs8e>&8V$yQwX5YhELh52g>uA>)ki$_XD2opr`ilKc4V zOq%#B5ys{mc`g3{xRC)#5;Io-2WNgyi~>M$L&hLGJC4ok_m5IZyd)m6YN|Xm!i#u0 zj4m`l3Hf3L&gDk@;1s->?XUTb6|^{bA15DJtc9&M_`|`7Gl&!v9l2hzHC&Xbdcjbz2ZIbyNH>h8$!or*1_d}Jf4oEhR9+ka@@r3Dj496E zU+*}8ki>vOXu87_tn9{Be*;)J6y5Z1nSs&mQv=KAZZaBbKv+GW>x;5AsQq9>&o-vl)+FHr0@eH8A07{>8;$I7V}kSnv97R; zfK%HQ)@iEODLXT4qGw0d)YbuwC9Eu8O?k@Yrp*Ni+)Z3M)vf>`u?PZ4ts*B67kDwOR1F>A}IUO2OfTCs;~3q1(W-))7`rdvx&E zpLr;Hnka4K4@98qH0y@$6*Pi4LIrT&QH$dhiHx=Y9EQVruiykjtnJ1X;Pm{k){8|G zs6X2Tn!*^@(AI9f_T~0ua6nlj<$eCLW|lufk;9$26QBvk4R`qBodJF`U&3Ln-0<79 zt>eB)QF?d>>lQ8u9TBp4;~KUw%T3=HxSFOMTyesE;WjWG8SmS9&audqJi6Q%A-I}< zpPUS6RCykE@7^jorQEh(JA)7yNFJ^2-U%qLsWg*aXdAmw+@)RJ5MwC#*m#h)bZmUXrjm+B*Hk9ic!z;hQExXri2@IfX9n^ zrmn@gM=6>N(H~)H^^O99@MMw^k9x_8boRywz`!Kq9WM@AxO5=90*}@nvZV!GhZ*&N zPc2@0s_}q^&@8?k@FxOj^U2ErRHKAXm46tJR0vvf{@ct;ywpK!(MY zop8dnhmFCbO?vMvgwhaBCocNPAq7=a&kw1Thy{9p;5@DY1sZMV$HuTQ1%MF_8F_yg zv#nkqCd;!t9ft$sX}qXsK=$|d{;}IIB$4lUIp1~N*b-ud6z!(I@`{Ftpw*suKV-Vm zf2K!OLX+2Tcp)f&VCW|xr-C#-HQwPXN-2WK(WU}Y7@Sqn{{S!`iXg}huWTUK8h-ma<-iYsKDq3`XgDzxA;VzAS?E`J(}2)Zvb8u@ zhgeAhlt3>6PIbauXK}mR0=_DIVkV(cYHw(-PmGvW6)n$@aghL&xpLMVcCm8z@y=<; z*5UIqw;EJ0!gGSQJO#{v1<}64cCqpQ0JW#Z*B#^2o16@8C^&iGpILhY;6GT+I0Ezf z$qhET%@WXhFcr4&OnPkK2hJV4Z&)f?2P0wggrX@2#}@`lL#{i@;olLX{j71TY+sN4 z!;nh>8ac@WT?;|K`+yNb^V9jufY>3V#e-T4r!USrBXOi)VpWJU?=QDl1WnFxsjE*6 zJag+E>BV3-x%H8vTnIh>@s6x|d_DbTVL<~IJSD$ zJ*p7FtK-fQp=siEkdy;je!3w_st%i!|R;3G5rDBo^Y&tT>?nL@LcnUoGJ) z=7LkFC(sOR1A9)t#z4SDNpL_RNw!hJcL<)akkz0zd}C`wp1%J8Sd&B|yGelW2eTm_ LLgI*3eCPk!OfKq8 diff --git a/docs/helios64/pwm.md b/docs/helios64/pwm.md index 3a76831..39f642e 100644 --- a/docs/helios64/pwm.md +++ b/docs/helios64/pwm.md @@ -51,14 +51,14 @@ Connector Pinout ![!Type-C Fan](/helios64/img/pwm/fan_stock_photo.jpg) -Fan Specification +### Fan Specifications | Parameter | Value | Unit | Remarks | |---------------|----------|------|---------| | Maximum Speed | 3600 | RPM | @ duty cycle 98% | | Minimum Speed | 350 | RPM | @ duty cycle 10% | | Shut off | Yes | | duty cycle < 6.5% and restart @ duty cycle > 9.5% | -| Implementation Type | C | | | +| Implementation | Type-C | | | ![!Type-C Speed Graph](/helios64/img/pwm/fan_speed_graph_stock_fan.png) @@ -79,18 +79,17 @@ Helios64 has a **Digital Temperature Sensor with 2‐wire Interface** ([NCT75 Da ## PWM Fan Control under Linux -Linux use 8-bit integer to represent duty cycle. PWM value 0 represent 0% duty cycle and PWM value 255 represent 100% duty cycle. +Linux uses 8-bit integer to represent duty cycle. PWM value 0 represent 0% duty cycle and PWM value 255 represent 100% duty cycle. ![Duty Cycle Formula](/helios64/img/pwm/fan_duty_cycle_formula.png) -Below graphs are stock fan speed vs pwm value instead of duty cycle. +Below graphs is stock fan speed vs pwm value instead of duty cycle. ![!Stock Fan Speed Graph](/helios64/img/pwm/fan_speed_graph_stock_fan_linux.png) ### Using SYSFS interface -Linux export the fan control mechanism to SYSFS under hwmon class. -List of devices can be checked under /sys/class/hwmon +Linux exports the fan control mechanism to SYSFS under hwmon class. List of devices can be checked under _/sys/class/hwmon* ``` ls -l /sys/class/hwmon/ @@ -105,7 +104,7 @@ lrwxrwxrwx 1 root root 0 Oct 16 09:29 hwmon6 -> ../../devices/platform/ff3d0000. ``` !!! info - The numbering may different from above example output. It depends on whether the driver built as kernel module or built-in, device initialization order. Take this as consideration when using [fancontrol](#fancontrol-automated-software-based-fan-speed-control) + The numbering may differ from above example output. It depends on whether the driver built as kernel module or built-in and device initialization order. Take this as consideration when using [fancontrol](#fancontrol-automated-software-based-fan-speed-control) To identify which hwmon belong to fan, look for *p6-fan* and *p7-fan*. On above example @@ -126,19 +125,21 @@ echo NEW_PWM_VALUE > /sys/class/hwmon4/pwm1 echo NEW_PWM_VALUE > /sys/class/hwmon5/pwm1 ``` -### Fancontrol - automated software based fan speed control +( *NEW_PWM_VALUE* takes value between 0 and 255 ) -fancontrol is a shell script for use with lm_sensors. It reads its configuration from a file, then calculates fan speeds from temperatures and sets the corresponding PWM outputs to the computed values. +### Fancontrol Utility + +**fancontrol** software provides automated fan speed control. It is a shell script for use with lm_sensors. It reads its configuration from a file, then calculates fan speeds from temperatures and sets the corresponding PWM outputs to the computed values. ``` sudo apt-get install fancontrol ``` -fancontrol includes *pwmconfig* script to create automatically a configuration file but it can not be used for Helios64. +fancontrol includes *pwmconfig* script to create automatically a configuration file but it cannot be used for Helios64. #### UDEV rules -Since hwmon order can be changed between kernel version or even between reboot, on Armbian we use udev rules as workaround. The rules can be found from [here](https://raw.githubusercontent.com/armbian/build/master/packages/bsp/helios64/90-helios64-hwmon.rules) (mainline) or [here](https://raw.githubusercontent.com/armbian/build/master/packages/bsp/helios64/90-helios64-hwmon-legacy.rules) (legacy kernel 4.4) and to be copied to **/etc/udev/rules.d/** +Since hwmon order can change between kernel version or even between reboot, on Armbian we use udev rules as workaround. The rules can be found [here](https://raw.githubusercontent.com/armbian/build/master/packages/bsp/helios64/90-helios64-hwmon.rules) (mainline) or [here](https://raw.githubusercontent.com/armbian/build/master/packages/bsp/helios64/90-helios64-hwmon-legacy.rules) (legacy kernel 4.4) and need to be copied to **/etc/udev/rules.d/** */dev/fan-p6, /dev/fan-p7, /dev/thermal-cpu* and */dev/thermal-board* are symlinks generated by the udev rules. @@ -202,5 +203,3 @@ The PWM value to use when the temperature is below MINTEMP. Typically, this will !!! note The Helios64 fancontrol configuration file can be found [here](https://github.com/armbian/build/blob/master/packages/bsp/helios64/fancontrol.conf). - - diff --git a/docs/helios64/rtc.md b/docs/helios64/rtc.md index 3b64755..9799be2 100644 --- a/docs/helios64/rtc.md +++ b/docs/helios64/rtc.md @@ -10,11 +10,12 @@ The SoC receive clock signal from the PMIC RTC and in the meantime access the PM To save time information and allow the RTC to keep running while system is powered off, the PMIC RTC relies on a dedicated coin battery located at BAT1. The battery holder (BAT1) accepts CR1225 battery model. -![RTC Battery](/helios64/img/rtc/rtc_battery.jpg) - !!! Note The polarity of the battery holder is indicated on the PCB with **'+'** signs. +![RTC Battery](/helios64/img/rtc/rtc_battery.jpg) + +However if your setup has the [UPS](/helios64/ups/) battery connected, then RTC battery is not required since the RTC clock can also be kept powered by the UPS battery. ## Scheduled Power On using RTC @@ -28,20 +29,21 @@ Run following command to check whether there is any alarm set, cat /sys/class/rtc/rtc0/wakealarm ``` If nothing return, it means no alarm set. -To reset/disable the alarm, run + +To reset/disable the alarm, run: ```bash echo 0 | sudo tee /sys/class/rtc/rtc0/wakealarm ``` -The alarm only accept Unix epoch time. We can use *[date](https://linux.die.net/man/1/date)* utility as helper to get epoch time of our calendar. +The alarm only accepts Unix epoch time. We can use *[date](https://linux.die.net/man/1/date)* utility as helper to get epoch time of our calendar. -To set alarm from absolute calendar time, run +To set alarm from absolute calendar time, run: ```bash echo `date '+%s' -d '20 December 2020 02:14:10 PM'` | sudo tee /sys/class/rtc/rtc0/wakealarm ``` -You can also set alarm from relative time using this command, +You can also set alarm from relative time using this command: ```bash echo `date '+%s' -d '+ 1 hour 2 minutes 10 seconds'` | sudo tee /sys/class/rtc/rtc0/wakealarm @@ -51,25 +53,25 @@ After alarm set, you can power off the system and keep the power plugged in. Hel ### Use rtcwake -Run following command to check whether there is any alarm set, +Run following command to check whether there is any alarm set: ```bash sudo rtcwake -m show ``` -To reset/disable the alarm, run +To reset/disable the alarm, run: ```bash sudo rtcwake -m disable ``` -To set alarm from absolute calendar time, run +To set alarm from absolute calendar time, run: ```bash sudo rtcwake -m off --date '2020-12-20 14:14:10' ``` -You can also set alarm from relative time using this command, +You can also set alarm from relative time using this command: ```bash sudo rtcwake -m off --date '+ 1 hour 2 minutes 10 seconds' @@ -82,4 +84,3 @@ After the command successfully executed, system will shutdown. Keep the power pl [date- Linux manual page](https://linux.die.net/man/1/date) [rtcwake - Linux manual page](https://linux.die.net/man/8/rtcwake) - diff --git a/docs/helios64/sata.md b/docs/helios64/sata.md index 6c6a865..1a2bed9 100644 --- a/docs/helios64/sata.md +++ b/docs/helios64/sata.md @@ -37,7 +37,8 @@ The power delivery of the HDDs is devided into two group: Helios64 implements a power staggering approach where *HDD Rail A* will be powered up first, then few seconds later *HDD Rail B* will be powered up. This power control scenario is performed to reduce the inrush current during disk spin-up. -The power staggering mechanism is not user configurable. It is done by bootloader. +!!! note + The power staggering mechanism is not user configurable. It is done by the bootloader. ### J8 Pinout diff --git a/docs/helios64/ups.md b/docs/helios64/ups.md index 930d380..6e4d4e5 100644 --- a/docs/helios64/ups.md +++ b/docs/helios64/ups.md @@ -48,7 +48,7 @@ Battery charge is fully managed by hardware ([Texas Instrument BQ24133](https:// ## UPS Status Under Linux -In Linux, the UPS declared as gpio-charger located at +In Linux, the UPS is declared as gpio-charger located at `/sys/class/power_supply/gpio-charger` @@ -79,7 +79,7 @@ It will return **Charging** or **Not Charging** !!! Info The status is only **valid** when main power is available. - + *Fault / Battery Absent* status is unavailable but it can be concluded if the status keep changing. ### Battery Level @@ -90,7 +90,7 @@ Battery voltage can be measured on internal ADC channel 2. The internal ADC is l ![!Battery level](/helios64/img/ups/battery_level_schematic.png) -Following table show scalling between ADC reading and actual battery voltage +Following table shows scaling between ADC reading and actual battery voltage | Battery Voltage (V) | ADC reading (mV) | Remarks | |---------------------|------------------|---------| @@ -98,7 +98,7 @@ Following table show scalling between ADC reading and actual battery voltage | 7.0 | 916 | Recommended threshold to force shutdown system | | 8.4 | 1099 | Fully Charge | -[IIO subsystem](https://www.kernel.org/doc/html/latest/driver-api/iio/index.html) provide hardware raw value. To convert the raw value to standard units, use following formula +[IIO subsystem](https://www.kernel.org/doc/html/latest/driver-api/iio/index.html) provides hardware raw value. To convert the raw value to standard units, use following formula `adc = in_voltage2_raw * in_voltage_scale` @@ -107,4 +107,3 @@ To get the actual ADC reading in shell run following command ```bash echo "`cat /sys/bus/iio/devices/iio:device0/in_voltage2_raw` * `cat /sys/bus/iio/devices/iio:device0/in_voltage_scale`" | bc ``` - diff --git a/docs/helios64/usb.md b/docs/helios64/usb.md index 94f698d..a65413b 100644 --- a/docs/helios64/usb.md +++ b/docs/helios64/usb.md @@ -115,43 +115,39 @@ Helios64 can be used as Direct Attached Storage (DAS) with the required software ### USB Host Port -USB Host support in U-Boot is quite minimal, it only support USB storage. +USB Host support in U-Boot is quite minimal, it only supports USB storage. ### USB OTG Port (USB Type-C) -USB Type C port is configured as USB device mode as USB Mass Storage connected to eMMC. -This function can be activated by pressing [Recovery Button](/helios64/button/#recovery-button). -This is to serve as a way to (re)install OS to eMMC. +USB Type C port is configured as USB Mass Storage connected to eMMC. This function, called UMS mode, can be activated by pressing [Recovery Button](/helios64/button/#ums-mode). This is to serve as a way to (re)install OS to eMMC. + ## USB under Linux !!! Info - Currently only applicable under Linux Kernel 4.4. - Mainline kernel support is still under development. + Currently only applicable to Linux Kernel 4.4. Mainline kernel support is still under development. ### Helios64 as Direct Attached Storage (DAS) device Helios64 can be used as Direct Attached Storage (DAS) device with help of Linux USB Gadget kernel module. -The kernel moodule only export the underlying block device NOT the filesystem layer. Therefore if the block device is formatted with filesystem unique to Linux, -the exported disk may not readable by computer that has Helios64 connected to. +The kernel module only exports the underlying block device NOT the filesystem layer. Therefore if the block device is formatted with filesystem unique to Linux, the exported disk may not be readable by the computer connected to Helios64 connected. -For example, the block device is formatted with EXT4 filesystem and Helios64 connected to Windows PC as DAS, -the Windows PC will not be able to read the disk content unless 3rd party software/driver installed. +For example, if the block device is formatted with EXT4 filesystem and Helios64 connected to Windows PC as DAS, the Windows PC will not be able to read the disk content unless 3rd party software/driver installed. !!! warning - Do NOT access Helios64 simultanouesly as DAS and NAS, as the filesystem is not managed by system and can lead to data corruption. + Do NOT export block device(s) that you are also accessing via network (unless in read-only mode), as the filesystem of the exported block device cannot be managed concurrently and can lead to data corruption. Refer to kernel Mass Storage Gadget (MSG) [page](https://www.kernel.org/doc/html/latest/usb/mass-storage.html) to understand better the limitation. -#### Individual Disk Exported as Separate Disk +#### Export Individual Disk -To export all SATA disks that has been identified as /dev/sda ... /dev/sde, run the following command on Helios64. +To export all SATA disks that have been identified as /dev/sda ... /dev/sde, run the following command on Helios64: ``` sudo modprobe g_mass_storage file=/dev/sda,/dev/sdb,/dev/sdc,/dev/sdd,/dev/sde iSerialNumber=1234567890 iManufacturer="Kobol Innovations" iProduct=Helios64 ``` -The following screenshot, Helios64 connected with 5x 120GB SATA drive. +The following screenshots show Helios64 connected with 5x 120GB SATA disks. ***Helios64 connected to PC running Windows*** @@ -181,8 +177,8 @@ Device Descriptor: bDescriptorType 1 bcdUSB 3.00 bDeviceClass 0 (Defined at Interface level) - bDeviceSubClass 0 - bDeviceProtocol 0 + bDeviceSubClass 0 + bDeviceProtocol 0 bMaxPacketSize0 9 idVendor 0x0525 Netchip Technology, Inc. idProduct 0xa4a5 Pocketbook Pro 903 @@ -203,7 +199,7 @@ OTG Descriptor: wTotalLength 47 bNumInterfaces 1 bConfigurationValue 1 - iConfiguration 0 + iConfiguration 0 bmAttributes 0xe0 Self Powered Remote Wakeup @@ -277,16 +273,16 @@ lsblk output: ``` $ lsblk NAME MAJ:MIN RM SIZE RO TYPE MOUNTPOINT -sdc 8:32 0 111,8G 0 disk -└─sdc1 8:33 0 111,8G 0 part -sdd 8:48 0 111,8G 0 disk -└─sdd1 8:49 0 111,8G 0 part -sde 8:64 0 111,8G 0 disk -└─sde1 8:65 0 111,8G 0 part -sdf 8:80 0 111,8G 0 disk -└─sdf1 8:81 0 111,8G 0 part -sdg 8:96 0 111,8G 0 disk -└─sdg1 8:97 0 111,8G 0 part +sdc 8:32 0 111,8G 0 disk +└─sdc1 8:33 0 111,8G 0 part +sdd 8:48 0 111,8G 0 disk +└─sdd1 8:49 0 111,8G 0 part +sde 8:64 0 111,8G 0 disk +└─sde1 8:65 0 111,8G 0 part +sdf 8:80 0 111,8G 0 disk +└─sdf1 8:81 0 111,8G 0 part +sdg 8:96 0 111,8G 0 disk +└─sdg1 8:97 0 111,8G 0 part $ lsblk -S NAME HCTL TYPE VENDOR MODEL REV TRAN @@ -299,15 +295,15 @@ sdf 2:0:0:3 disk Linux File-Stor Gadget 0404 usb sdg 2:0:0:4 disk Linux File-Stor Gadget 0404 usb ``` -#### RAID device exported as One Disk +#### Export RAID Array -Assuming the RAID already created and identified as /dev/md/md-raid6, run the following command on Helios64 to export the RAID block device as USB Mass Storage +Assuming the RAID array is already created and identified as /dev/md0, run the following command on Helios64 to export the RAID block device as USB Mass Storage ``` -sudo modprobe g_mass_storage file=/dev/md/md-raid6 iManufacturer="Kobol Innovations" iProduct="Helios64" iSerialNumber="1234567890" +sudo modprobe g_mass_storage file=/dev/md0 iManufacturer="Kobol Innovations" iProduct="Helios64" iSerialNumber="1234567890" ``` -The following screenshot, Helios64 connected with 5x 120GB SATA drive and configured as RAID 6 so total space of the block device is ~360GB (3x 120GB). The block device then formatted with NTFS. +The following screenshots show Helios64 connected with 5x 120GB SATA disks and configured as RAID 6 so total space of the block device is ~360GB (3x 120GB). The block device is then formatted with NTFS. ***Helios64 connected to PC running Windows*** @@ -338,8 +334,8 @@ Device Descriptor: bDescriptorType 1 bcdUSB 3.00 bDeviceClass 0 (Defined at Interface level) - bDeviceSubClass 0 - bDeviceProtocol 0 + bDeviceSubClass 0 + bDeviceProtocol 0 bMaxPacketSize0 9 idVendor 0x0525 Netchip Technology, Inc. idProduct 0xa4a5 Pocketbook Pro 903 @@ -360,7 +356,7 @@ OTG Descriptor: wTotalLength 47 bNumInterfaces 1 bConfigurationValue 1 - iConfiguration 0 + iConfiguration 0 bmAttributes 0xe0 Self Powered Remote Wakeup @@ -433,8 +429,8 @@ lsblk output ``` $ lsblk NAME MAJ:MIN RM SIZE RO TYPE MOUNTPOINT -sdc 8:32 0 335,2G 0 disk -└─sdc1 8:33 0 335,2G 0 part +sdc 8:32 0 335,2G 0 disk +└─sdc1 8:33 0 335,2G 0 part $ lsblk -S NAME HCTL TYPE VENDOR MODEL REV TRAN @@ -442,4 +438,3 @@ sda 0:0:0:0 disk ATA WDC WDS240G2G0B 0000 sata sdb 1:0:0:0 disk ATA TOSHIBA MQ04 0J sata sdc 2:0:0:0 disk Linux File-Stor Gadget 0404 usb ``` - diff --git a/docs/index.md b/docs/index.md index 5f2459e..cc2dc6f 100644 --- a/docs/index.md +++ b/docs/index.md @@ -13,6 +13,7 @@ Beside consolidating technical data, the purpose of this Wiki is also to provide | Date | Description | |------|-------------| +| 29/10/2020 | Helios64 pages update:
[PWM Fan](/helios64/pwm/)
[Auto Power-On](/helios64/auto_poweron/)
[UPS Battery](/helios64/ups)
[RTC Clock](/helios64/rtc)
[GPIO Header](/helios64/gpio)
[USB OTG](/helios64/usb/#usb-otg-port-usb-type-c)| | 27/10/2020 | [Helios64 Maskrom mode](/helios64/maskrom/) | | 14/10/2020 | [Helios64 eMMC install](/helios64/install/emmc/) | | 27/08/2020 | [Helios64 Setup Instructions](/helios64/install/preliminary/) | diff --git a/mkdocs.yml b/mkdocs.yml index de4d7b6..1e09172 100644 --- a/mkdocs.yml +++ b/mkdocs.yml @@ -93,9 +93,9 @@ nav: - Jumper: 'helios64/jumper.md' - LED: 'helios64/led.md' - M.2: 'helios64/m2.md' - - Power: - - Auto Power On: 'helios64/auto_poweron.md' + - Power Management: - PMIC: 'helios64/pmic.md' + - Auto Power-On: 'helios64/auto_poweron.md' - UPS Battery: 'helios64/ups.md' - PWM Fan: 'helios64/pwm.md' - RTC: 'helios64/rtc.md'